Screening of basic resist materials and PAGs for EUV-Lithography

Similar documents
Polymer Matrix Effects on EUV Acid Generation

Is an EUV Film Quantum Yield of 30 Possible?

Next: 193nm Lithography

EUV-Technology with Discharge EUV-Lamp"

Current Status of Inorganic Nanoparticle Photoresists

Introduction. Photoresist : Type: Structure:

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

RLS Trade-Off: Questions about Molecular Size and Quantum Yield

QsT/ Recently, chemically amplified EUV photoresists have been developed which

Resist-outgas testing and EUV optics contamination at NIST

EUVL Optics lifetime and contamination. European Update

Effect of PAG Location on Resists for Next Generation Lithographies

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking

A TES Bolometer for THz FT-Spectroscopy

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media.

Damage to Molecular Solids Irradiated by X-ray Laser Beam

Takeo Watanabe Center for EUVL, University of Hyogo

World-wide Standardization Effort on Leaching Measurement Methodology

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center

EUREKA: A new Industry EUV Research Center at LBNL

EUV Resist-Fundamental Research

Resist material for negative tone development process

Simulation and characterization of surface and line edge roughness in photoresists before and after etching

Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication

Understanding electron energy loss mechanisms in EUV resists using EELS and first-principles calculations

MICRO AND NANOPROCESSING TECHNOLOGIES

Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Development of Photosensitive Polyimides for LCD with High Aperture Ratio. May 24, 2004

Laboratory instruction SENSOR DEVICES

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

5. Photochemistry of polymers

Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa

Recent progress in nanoparticle photoresist development for EUV lithography

Evaluation at the intermediate focus for EUV Light Source

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Enhanced Performance of Multilayer Optics for Water Window Microscopy

EUV Reflectivity measurements on Acktar Sample Magic Black

More on Stochastics and the Phenomenon of Line-Edge Roughness

EUV ablation. C. Liberatore1,2, A. Bartnik5, K. Mann4, M. Müller4, L. Pina2, L. Juha3, J. J. Rocca6, A. Endo1, T. Mocek1

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source

Analysis of carbon contamination on EUV mask using CSM/ ICS

The Effect of Water and Confinement on Self-Assembly of

Chapter 30 X Rays GOALS. When you have mastered the material in this chapter, you will be able to:

Photolithography 光刻 Part II: Photoresists

Laboratory instruction SENSOR DEVICES

High-resolution EUV Microstepper tool for resist testing & technology evaluation

Australian Journal of Basic and Applied Sciences. Characteristic of Photocurable Organic/Inorganic Hybrids Utilizing Acid Proliferation Reactions

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

X-Rays, Electrons and Lithography: Fundamental Processes in Molecular Radiation Chemistry

Lecture 8. Photoresists and Non-optical Lithography

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

Overview of EUV Lithography and EUV Optics Contamination

FUNDAMENTAL PARAMETER METHOD FOR THE LOW ENERGY REGION INCLUDING CASCADE EFFECT AND PHOTOELECTRON EXCITATION

EUV and Soft X-Ray Optics

Exposure strategies for polymethyl methacrylate from in situ x-ray absorption near edge structure spectroscopy

X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

Lecture 3: Light absorbance

Hiroshi Ito and Mark Sherwood. IBMAlmaden Research Center 650 Harry Road San Jose, CA 95120, U S. A ibm. com.

Development of Lift-off Photoresists with Unique Bottom Profile

Lecture 14 Advanced Photolithography

Measurement of EUV scattering from Mo/Si multilayer mirrors

Technology offer: Environmentally friendly holographic recording material

Infrared Spectroscopy

Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems

SiO 2 Buffer-Etch Processes with a TaN Absorber for EUV Mask Fabrication

Propose a structure for an alcohol, C4H10O, that has the following

Measurement of the role of secondary electrons in EUV resist exposures

Photosensitive polyimides without side chain: negative-tone reaction development patterning

structure and paramagnetic character R. Kakavandi, S-A. Savu, A. Caneschi, T. Chassé, M. B. Casu Electronic Supporting Information

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source

Wavelength-Dependent Photochemistry of Oxime. Ester Photoinitiators

EUV Lithography Towards Industrialization

Modeling of Environmentally Friendly Siloxane- Based Lithography Solvents

EUV Reflectometry for Determining the Optical Properties of Photoresists and Underlayer Materials Upon Irradiation at 13.5-nm

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists

Revision Guide. Chapter 7 Quantum Behaviour

Molecular Glass Resist with Organic Developer

X-Rays From Laser Plasmas

CHAPTER 7 SUMMARY OF THE PRESENT WORK AND SUGGESTIONS FOR FUTURE WORK

Overview of X-Ray Fluorescence Analysis

Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation

X-ray Fluorescence Imaging Following Synchrotron Beam Excitation

Novel Molecular Materials Based on Noria and Double Calixarene (Beryllus) for EB and EUV Resist Systems

Optimizing RLS. Seiichi Tagawa. The Institute of Scientific and Industrial Research Osaka University and JST-CREST

Radiation Protection Considerations for the Cryogenic In-Vacuum Undulator of the EMIL Project at BESSY

Understanding Semiconductor Lasers

Photoelectric effect

Study on Improved Resolution of Thick Film Resist (Verification by Simulation)

A short pulsed laser cleaning system for EUVL tool

Exploration of non-chemically amplified resists based on chain-scission mechanism for 193 nm lithography

Study of Deprotection Reaction during Exposure in Chemically Amplified Resists for Lithography Simulation

Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008

Optical Storage and Surface Relief Gratings in Azo-Compounds

Design of Attenuated Phase-shift shift Mask with ITO Absorber for Extreme Ultraviolet Lithography

Proportional Counters

Transcription:

Screening of basic resist materials and PAGs for EUV-Lithography 1. Int. EUVL-Symposium, Dallas, TX Klaus Lowack, Wolf-Dieter Domke, liver Kirch, Karl Kragler, Heinz-Ulrich Scheunemann* Infineon Technologies AG, 915 Erlangen, Germany * BESSY GmbH, 12489 Berlin, Germany Never stop thinking. eturn to Listing

Introduction: EUV absorbance The EUV absorbance in organic materials is done by inner-shell electrons and is therefor - differently from optical lithography - independent of molecular structure. The attenuation length plot defines the depth, where the EUV radiation is attenuated to 1/e of the initial energy [LBNL CX]. Attenuation lengths at 13.4 nm wavelength ( 94 ev) are converted to EUV absorbance using Beers law. EUV absorbance goes proportional with the heteroatom/carbon ratio I-line, DUV and 193nm resists all show more or less the same EUV absorbance of 3-6 µm -1 The fluorine content in 157nm resist result in a higher EUV absorbance of 5-1 µm -1 Siloxane and CH-units in the polymer lower the EUV absorbance EUV absorbance [1/µm] 2 18 Teflon 16 14 12 1 157 8 PI PC 6 PMMA *) Heteroatom are 4 193 non-c 2 and non-h 248 PP 365 Siloxan,,5 1, 1,5 2, 2,5 Heteroatom *) /Carbon atio

Absorption of various Polymers and PAGs EUV absorbance [1/µm] 7 6 5 4 3 2 1 TPS-Nonaflate DPI-Triflate bis-tbutyl-pi- Nonaflate TPS-Hexaflate bis-tbutyl-pi- Hexaflate bis-tbutyl-pi-triflate TPS-Triflate *) Heteroatom are non-c and non-h, 1, 2, 3, 4, 5, 6, Carbon/Heteroatom *) atio bis-tbutyl-pi-tosylate The strongest absorbing atoms in resists and PAGs are J > F > >> N > C, Cl, S, H Triphenylsulfonium PAGs have a lower absorbance than Diphenyliodonium PAGs longer CF 2 chains in the PAG anion increase the absorbance (nonaflate > hexaflate > triflate) While for the polymer it is advantageous to have a low absorbance, this is not yet proven for the PAGs

Materials under Investigation: Polymers & PAGs Acrylic MA type I, Si-containing PSS-type Acrylic-MA-polymers were prepared by radical polymerization. Si(CH 3 ) 3 CH 3 X CH 3 Y PSS-type polymers and PAG materials were used as received. PHST and ESCAP type materials were used as reference. Acrylic MA type II Si Si Si Si Si Si Si Si = i-bu C H PHST type The photoacid generators used were Triphenylsulfonium- (TPS), Diphenyliodonium- (DPJ) and bistbutylphenyliodonium (tb-dpj)salts of trifluorosulfonic- (Triflate), hexafluorosulfonic- (Hexaflate) and nonafluorosulfonic (Nonaflate) acid. Ph 3 S + CF 3 S 3 - Ph 2 J + (tbu-ph) 2 J + CF 3 CHF CF 2 S 3 - CF 3 (CF 2 ) 3 S 3 - H C ESCAP type

EUV Beamline at the Berliner Elektronenspeicherring- Gesellschaft für Synchrotronstrahlung (BESSY) Main parts of the BESSY EUV setup: Pre-mirror is a low-pass for the absorption of high energetic photons to prevent multilayer-mirror damage Multilayer-mirror for in-band EUV (12.5-13.5 nm) Si-membrane window (option) for vacuum separation and contamination protection Exposure chamber with dose control

Exposure Chamber Details at BESSY Spectral Power [mw/nm] 7,E-1 6,E-1 5,E-1 4,E-1 3,E-1 2,E-1 1,E-1,E+ Spectral Intensity at the EUV-Beamline Dipol Spectrum Spectrum after Pre-mirror Spectrum after 2 Multilayer-mirrors 5 1 15 2 25 3 35 4 wavelength [nm] Spectral Power [mw/nm] 1,E-1 8,E-2 6,E-2 4,E-2 2,E-2 Spectral Power Distribution at EUV-Beamline,E+ 12 12,5 13 13,5 14 14,5 15 wavelength [nm] without Si-Window after.5 µm Si 11 µw/cm² 45 µw/cm² pen Frame area : 3 mm x 6 mm Intensity: 12 µw/cm 2 at 2 ma ring current Uniformity: +/- 2,5 % over a range of 42 mm; +/- 1,25 % over a range of 38 mm dose calibration: with AXUV-1 diodes (PTB) with a sensitivity of,254 A/W dose increments are achieved with a rotating chopper wheel using either linearly or logarithmic spaced openings other parameters: 1-6 mbar pressure,exposure times sec - min

What can we learn from bulk lithographic EUV data? Film thickness [nm] 12 1 8 6 4 2 Though lithographers are mainly interested in small pattern and pattern profiles, a lot of information can be gained from open frame maesurements: - resist sensitivity and contrast, - unexposed film thickness loss, - resist loss at.75 E - negative tone behavior at higher doses Data at different bake temperatures can be used to extract parameters for resist simulation. The resist image can also be used to characterize the exposure uniformity of the beamline setup and allow easy control of changes in this setup..1 1. 1. Dosis [mj/cm2] Exposure dose: 1.3 mj/cm 2 Y Axis [mm] 5 1 15 2 25 3 Filmthickness [nm] 12 1 8 6 4 2,1 1, 1, EUV Dose [mj/cm 2 ] High sensitivity, high contrast 1 2 3 4 5 6 X Axis [mm] Medium sensitivity, medium contrast 11. -- 12. 1. -- 11. 9. -- 1. 8. -- 9. 7. -- 8. 6. -- 7. 5. -- 6. 4. -- 5. 3. -- 4. 2. -- 3. 1. -- 2. Step width: 2mm Height scale: nm Dose Uniformity of BESSY exposure field (3 x 6 mm) from filmthickness measurements of developed resist

esults & Discussion I Acrylic MA type II resist with TPS X-flates PAG showed, that the variation of the PAG anion chain length (increasing F- content, increasing absorbance in EUV) has a significant impact on sensitivity in EUV compared to DUV. The shortest anion gave best sensitivity and contrast. Film Thickness [nm] 12 1 8 6 4 2 Nonaflate Hexaflate Triflate 8 7 6 5 4 3 2 1 2,5 2 1,5 contrast Nonaflate Hexaflate Triflate Dose-to-clear [mj/cm 2 ] γ DUV γ EUV D DUV D EUV,1 1 1 1 dose-to-clear [mj/cm 2 ] 3, 2,8 2,6 2,4 2,2 2, 1,8 1,6 1,4 1,2 1,,8,6,4,2, dose [mj/cm 2 ] dose-to-clear vs. F-content in resist,2,3,4,5,6,7,8,5 Nonaflate Hexaflate Triflate The dose-to-clear increases linearly with the molar fluorine content of the resist F-content in resist formulation [mmol]

esults and Discussion II Film Thickness [nm] 12 1 8 6 4 2 Acrylic MA type II resist with S- or J-containing cations show a higher sensitivity and a better contrast with the higher EUV absorbing J in the cation. This is also true for the PSS polymers: the J- containing resist is 4-5 times faster in EUV than in DUV. The PSS polymers show all high contrast. PSS Polymer, 5 % PAG 3,5 3 2,5 2 1,5 1,5 TPS Hexaflate DBuPJ Hexaflate Dose-to-clear [mj/cm 2 ] D DUV Film Thickness [nm] 12 1 8 6 4 2 D EUV 12 1 8 6 4 2 TPS Hexaflate DBuPJ Hexaflate Acrylic MA polymer, 5% PAG γ DUV contrast γ EUV TPS Hexaflate DBuPJ Hexaflate DPJ Triflate 1 1 dose [mj/cm 2 ],1 1 1 dose [mj/cm 2 ]

esults and Discussion III Acrylic MA type II resists gives systems with high sensitivity and no netative-tone behavior up to a dose of 25 mj/cm2, which is a Eneg/E ratio of > 3. ne of the reference systems (ESCAP) showed a negative tone behavior at 4 times E. PAG type and photobase can be used to adjust the sensitivity (A: TPS Triflate; B TPS nonaflate + TPS acetate). The acrylic copolymer itself is patternable at doses of 3 mj/cm 2. GPC & I data suggest main chain scissioning. 2 C) without PAG GPC: Film Thickness [nm] 12 1 8 6 4 2 A) with PAG B) with PAG & base C B A Mw [1 3 g/mole] 1 9 8 7 6 5 4 3 1 2 3 4 5 6 tboc group molecular weight vs. EUV dose EUV dose [mj/cm 2 ],1 1 1 1 dose [mj/cm 2 ] Exposure without PAG (sample C) gives TMAH-developable material without any changes in the content of deblocking groups. FT-I of Sample C after EUV exposure at 6 mj/cm 2

esults and Discussion IV 12 acrylic MA type I; 23 % tbu; 5% TPS Hft, base acrylic MA type II; 45 % tbu, 5% TPS Hft, no base FT-I spectrum (type I) before EUV exposure: Film Thickness [nm] 1 8 6 4 2,1 1 1 CH 3 CH 2 C= (SA) C-- CH 2 CH 3 tbu C= (Ester) --tbu dose [mj/cm 2 ] With PAG containing resist, the main mechanismus is the deblocking, however, the polymer itself undergoes also main chain scissioning. t-boc content and base addition give similar effects as known from DUV... with exposure decreases.. FT-I difference spectrum: changes with EUV exposure CH 3 C= (Ester) Bandshift C-- CH 3 tbu --tbu FT-I microscopy is a valid method for the investigation of structure changes with dose. increases.. -CH C= (SA) C= (Acid)

Summary & Acknowledgement An EUV beamline has been built at the BESSY GmbH for open frame characterization of basic resist materials and PAGs. A set of polymers with F-, S- and J-containing PAGs has been investigated for their bulk lithographic behavior at 13.4 nm exposure wavelengths. The sensitivities of these resists range from.8 to 11 mj/cm 2, contrast values range from 3 to 14. The EUV absorbance of the PAG anion & cation has an important impact on sensitivity. ecommendations for good combinations of anions and cations could be given. The acrylic MA resist showed no negative tone behavior up to 3 times their dose-to-clear. All acrylic co-polymer resist systems will undergo partial main chain scissioning during EUV exposure. preliminary patterning tests of the acrylic MA type I and II polymers at the EUV-LLC 1x microstepper show the potential for high resolution and moderate LE. The work at BESSY will continue with some emphasis on outgassing and on extraction of resist model parameters. Acrylic MA type I resist: Sensitivity 7.4 mj/cm 2 resolution 8 nm overall LE: 5.2 nm Acrylic MA type II resist: 4 nm lines We thank Ingrid Wiechert for the open frame exposures at BESSY and Donna Connell for the patterning at the EUV-LLC. National funding for the BMBF project 1 M 364A is gratefully acknowledged