Chapter 7 Improved Immunity against Plasma Charging Damage of pmosfets with HfO 2 /SiON Gate Stack by Fluorine Incorporation

Similar documents
CONSTANT CURRENT STRESS OF ULTRATHIN GATE DIELECTRICS

An interfacial investigation of high-dielectric constant material hafnium oxide on Si substrate B

Negative Bias Temperature Instability (NBTI) Physics, Materials, Process, and Circuit Issues. Dieter K. Schroder Arizona State University Tempe, AZ

Frequency dispersion effect and parameters. extraction method for novel HfO 2 as gate dielectric

Quantum Mechanical Simulation for Ultra-thin High-k Gate Dielectrics Metal Oxide Semiconductor Field Effect Transistors

Low Frequency Noise in MoS 2 Negative Capacitance Field-effect Transistor

Negative Bias Temperature Instability Characterization and Lifetime Evaluations of Submicron pmosfet

A Computational Model of NBTI and Hot Carrier Injection Time-Exponents for MOSFET Reliability

Characterization of Charge Trapping and Dielectric Breakdown of HfAlOx/SiON Dielectric Gate Stack

EECS130 Integrated Circuit Devices

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room).

Scaling Issues in Planar FET: Dual Gate FET and FinFETs

This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented.

Department of Electronic Engineering, Chienkuo Technology University, No. 1, Chieh Shou N. Rd., Changhua City, 500 Taiwan, R.O.C.

NEGATIVE bias temperature instability (NBTI) or the

Long Channel MOS Transistors

Comparative studies of Ge and Si p-channel metal oxide semiconductor field-effect-transistors with HfSiON dielectric and TaN metal gate

Lecture 5: CMOS Transistor Theory

Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

21. LECTURE 21: INTRODUCTION TO DIELECTRIC BREAKDOWN

ALD high-k and higher-k integration on GaAs

Lecture 12: MOS Capacitors, transistors. Context

Subthreshold and scaling of PtSi Schottky barrier MOSFETs

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa

Hot-Carrier Reliability Comparison for pmosfets With Ultrathin Silicon-Nitride and Silicon-Oxide Gate Dielectrics

! CMOS Process Enhancements. ! Semiconductor Physics. " Band gaps. " Field Effects. ! MOS Physics. " Cut-off. " Depletion.

Improved Interfacial and Electrical Properties of GaSb Metal Oxide

NITROGEN CONTAINING ULTRA THIN SiO 2 FILMS ON Si OBTAINED BY ION IMPLANTATION

MOS Transistor I-V Characteristics and Parasitics

Electrical measurements of voltage stressed Al 2 O 3 /GaAs MOSFET

ADVANCED processing techniques that make use of

MOSFET: Introduction

Plasma charging damage during contact hole etch in highdensity

EE410 vs. Advanced CMOS Structures

Effective Capacitance Enhancement Methods for 90-nm DRAM Capacitors

The relentless march of the MOSFET gate oxide thickness to zero

CMPEN 411 VLSI Digital Circuits. Lecture 03: MOS Transistor

(a) (b) Supplementary Figure 1. (a) (b) (a) Supplementary Figure 2. (a) (b) (c) (d) (e)

CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS

L ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling

Application of High-κ Gate Dielectrics and Metal Gate Electrodes to enable Silicon and Non-Silicon Logic Nanotechnology

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Control of Flat Band Voltage by Partial Incorporation of La 2 O 3 or Sc 2 O 3 into HfO 2 in Metal/HfO 2 /SiO 2 /Si MOS Capacitors

MODEL MECHANISM OF CMOS DEVICE FOR RELIBILITY ENHANCEMENT

PROGRESS AND ISSUES IN DIELECTRIC MATERIALS FOR SUB-100NM DRAM TECHNOLOGY ABSTRACT

Statistical Model of Hot-Carrier Degradation and Lifetime Prediction for P-MOS Transistors

M R S Internet Journal of Nitride Semiconductor Research

An Analytical Model for a Gate-Induced-Drain-Leakage Current in a Buried-Channel PMOSFET

A 20 nm gate-length ultra-thin body p-mosfet with silicide source/drain

COTS BTS Testing and Improved Reliability Test Methods

Electric-Field Induced F - Migration in Self-Aligned InGaAs MOSFETs and Mitigation

MOS Transistor Properties Review

OFF-state TDDB in High-Voltage GaN MIS-HEMTs

! CMOS Process Enhancements. ! Semiconductor Physics. " Band gaps. " Field Effects. ! MOS Physics. " Cut-off. " Depletion.

MOS CAPACITOR AND MOSFET

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications

ELEN0037 Microelectronic IC Design. Prof. Dr. Michael Kraft

J. Price, 1,2 Y. Q. An, 1 M. C. Downer 1 1 The university of Texas at Austin, Department of Physics, Austin, TX

Enhancing the Performance of Organic Thin-Film Transistor using a Buffer Layer

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Final Examination EE 130 December 16, 1997 Time allotted: 180 minutes

Processing and Characterization of GaSb/High-k Dielectric Interfaces. Pennsylvania 16802, USA. University Park, Pennsylvania 16802, USA

Fundamentals of the Metal Oxide Semiconductor Field-Effect Transistor

Enhanced Mobility CMOS

A Theoretical Investigation of Surface Roughness Scattering in Silicon Nanowire Transistors

CHARGE TRAPPING PROPERTIES OF ALTERNATIVE HIGH-K DIELECTRICS IN MOS DEVICES. Xing Zhou. Dissertation. Submitted to the Faculty of the

= (1) E inj. Minority carrier ionization. ln (at p ) Majority carrier ionization. ln (J e ) V, Eox. ~ 5eV

!""#$%&'("')*+,%*-'$(,".,#-#,%'+,/' /.&$0#%#'/(1+,%&'.,',+,(&$+2#'3*24'5.' 6758!9&!

Supporting Information for: Sustained sub-60 mv/decade switching via the negative capacitance effect in MoS 2 transistors

Compound buried layer SOI high voltage device with a step buried oxide

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing

Section 12: Intro to Devices

Modeling of the Substrate Current and Characterization of Traps in MOSFETs under Sub-Bandgap Photonic Excitation

The Devices. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

Intrinsic Reliability Projections for a Thin JVD Silicon Nitride Gate Dielectric in P-MOSFET

Multiple Gate CMOS and Beyond

Reliability and Instability of GaN MIS-HEMTs for Power Electronics

Universal Mobility-Field Curves For Electrons In Polysilicon Inversion Layer

Chapter 3 Basics Semiconductor Devices and Processing

Negative-Bias Temperature Instability (NBTI) of GaN MOSFETs

Performance Analysis of Ultra-Scaled InAs HEMTs

Effects of Current Spreading on the Performance of GaN-Based Light-Emitting Diodes

High Dielectric Constant (k) Materials

Semiconductor Physics fall 2012 problems

The Pennsylvania State University. The Graduate School. Intercollege Graduate Degree Program in Materials Science and Engineering

META-STABILITY EFFECTS IN ORGANIC BASED TRANSISTORS

A Multi-Gate CMOS Compact Model BSIMMG

Quarter-micrometre surface and buried channel PMOSFET modelling for circuit simulation

VLSI Design The MOS Transistor

MICRO-SCALE SHEET RESISTANCE MEASUREMENTS ON ULTRA SHALLOW JUNCTIONS

Comprehensive Understanding of Carrier Mobility in MOSFETs with Oxynitrides and Ultrathin Gate Oxides

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

Traps in MOCVD n-gan Studied by Deep Level Transient Spectroscopy and Minority Carrier Transient Spectroscopy

Lecture 9. Strained-Si Technology I: Device Physics

Fig The electron mobility for a-si and poly-si TFT.

HOT-CARRIER RELIABILITY SIMULATION IN AGGRESSIVELY SCALED MOS TRANSISTORS. Manish P. Pagey. Dissertation. Submitted to the Faculty of the

Lecture 18 Field-Effect Transistors 3

Transcription:

Chapter 7 Improved Immunity against Plasma Charging Damage of pmosfets with HfO 2 /SiON Gate Stack by Fluorine Incorporation 7. 1 Introduction Plasma processes have been known to cause gate oxide and MOSFET degradations. Many plasma processing steps, such as poly-silicon etching for transistor gate length definition [1]-[2], metal etching [3], and photo-resist ashing [4] are indispensable for wafer fabrication. With the scaling of the transistor gate length and gate oxide thickness, the gate edge damage and gate oxide damage could both become more serious by the poly-silicon etching. Meanwhile, it has been shown that metal etching and its subsequent resist ashing could induce serious plasma charging damages. With the fabrication of multi-level metal interconnect in ULSI circuits, repetitive exposures to plasma processes are unavoidable. Consequently, better understanding of plasma damage is essential to achieve highly reliable ULSI circuits. To detect plasma process-induced damage (P 2 ID), a typical test structure is a small area capacitor or transistor connected to a conductive antenna receiver. Metal antenna structures attached to the gate electrode with various antenna area ratios (AAR) are used to monitor the plasma charging damage. In conventional pmosfets with SiO 2 gate, severe charging damage could occur at the center, due to the 204

non-uniform plasma generation caused by the gas injection mode of the asher. In this chapter, HfO 2 /SiON pmosfets with antenna structures are employed as the test devices. We also study the effects of fluorine incorporation on plasma charging damage. The HfO 2 /SiON gate stack degradation due to the charging damage can be evaluated using constant voltage stress (CVS) and bias-temperature stress (BTS). Furthermore, more detailed information, such as the effects of antenna size, charging polarity and the device location on the wafer, is carefully examined. We for the first time demonstrate that F incorporation into HfO 2 /SiON gate stack could strengthen the immunity against plasma charging damage [5]. 7.2 Results and Discussions 7. 2.1 Plasma Charging Damage in Control and Fluorine Incorporated Samples PMOS transistors with p + poly-si gate were fabricated on 6-inch wafers. Metal antennas with various antenna ratios (AAR) were attached to the gate. The AAR is defined as the area ratio between the metal pad and the active device region. Schematic of a transistor with area antenna is shown in Fig. 7.1. In our processing, after metal patterning, the remaining photo-resist layer was stripped off with O 2 plasma in a down-stream plasma asher, whose configuration and plasma potential can be found in [6]. CHARM-2 wafer sensor was used to detect the potential distribution in the chamber. Highly negative and positive potential values were detected at the wafer center and edge, as shown in Fig. 7.2 (a) and Fig. 7.2 (b), respectively. Fig. 7.3 (a) and Fig. 7.3 (b) compare the interface state density of the devices 205

with and without F incorporation for various AAR ratios as a function of device location on the wafer before and after H 2 sintering. The interface-state density of the antenna devices was also analyzed using the charge pumping technique. The value of N it for all samples after receiving H 2 sintering shows an order magnitude lower than that before receiving H 2 sintering. This indicates that H 2 sintering can effectively electrically passivate the interface states, generated during as-fabricated processing. The value of N it for the control devices with AAR of is found to be the largest even after H 2 sintering, and the F incorporation can significantly suppress the interface state generation during plasma processing. Fig. 7.4 (a) and Fig. 7.4 (b) show the threshold voltage of the devices with and without F incorporation for various AAR ratios as function of device location on the wafer before and after H 2 sintering. It is interesting to find that the V th values for the control devices with AAR of are the largest, irrespective of H 2 sintering. This indicates that hole traps generation occurs during plasma processing. In addition, the most serious case occurs at wafer center, suggesting that more severe hole traps generation is taking place at the wafer center. This result is consistent with that in the experiment of negative CVS stress bias, i.e., the higher negative stress voltage, the more hole traps are created. Moreover, we can observe that fluorine also can passivate the hole generation in addition to interface state generation. It is worthy to note that both V th and N it of the samples with and without F incorporation are reduced after H 2 sintering. This implies that H 2 forming gas anneal effectively improves MOSFET characteristics. These can be attributed to improved interface quality and reduced bulk trap in HfO 2 film by H atoms. Fig. 7.5 (a) and Fig. 7.5 (b) display the cumulative probability of the threshold voltage (V th ) and interface trap density (N it ) for the fabricated devices with and 206

without F incorporation for various AAR ratios around the wafer central region. Remarkably, the control devices with AAR of depict larger V th and N it values than their counterpart with F incorporation. Plasma charging damage would cause more positive charge trapping in HfO 2 film, and more interface state density would result in larger V th. Output characteristics for the fresh devices with AAR of and located at the wafer central region, both with and without F incorporation, are shown in Fig. 7.6. It is interesting to find that the drain current in the fresh devices is smaller for the control device with AAR of. This is ascribed to a higher V th for the fresh devices with a larger antenna, implying that more hole trapping events occur after plasma processing. This result is different from the case of traditional PMOS transistor with SiO 2 gate oxide, in which the device with a larger antenna has a lower V th, i.e., more electron trapping events occur during plasma processing. Moreover, all these results indicate that the plasma antenna charging effect creates more hole traps in the HfO 2 /SiON gate stack, and F incorporation can significantly reduce the impact of plasma charging effect. 7. 2. 2 Effects of Plasma Charging Damage on NBTI Figs. 7.7 (a) and (b) show the time evolution of the threshold voltage shift at 25 C for the devices with area antenna ratios (AAR) of either or, both with and without F incorporation, in linear scale and logarithm scale, respectively. All selected devices come from the same die location on the wafer center. It should be noted that in Fig. 7.7 (a), V th shift is larger for the control devices with AAR of, indicating that negative (i.e., wafer center) plasma charging generates positive charges 207

in the bulk of HfO 2 film, consistent with the aforementioned results. Fig. 7.7 (b) shows that V th degradation obeys the power law, and the exponential values of all devices at V g =-4 V (about 0.1~0.13) are similar. Fig. 7.8 (a) and Fig. 7.8 (b) compare N tot and N it as a function of time. It should be noted that N tot was calculated from V th assuming that the charge was trapped at the interface between the dielectric and the substrate. N tot is about 1.5~2 order larger than N it for all devices, indicating that charge trapping in the bulk trap dominates threshold voltage shift. Apparently, the F incorporation is shown to be effective in suppressing N tot and N it on the devices with large antenna. The reductions in drain current after CVS for the devices are shown and compared in Fig. 7.9. Due to a larger V th shift caused by plasma charging effect, enhanced drain current degradation is observed for the control devices with AAR of. Fig. 7.10 shows threshold voltage shift as a function of stress time, stressed at 125 o C, V g = 3.5 V. When the antenna size increases, NBTI worsens. The V th shift is higher for the devices with large AAR (e.g., ). This indicates that the generation rates of interface states and the positive charge trapping during the NBTI stressing are degraded due to the plasma charging damages. It can be seen that F incorporated films exhibit NBTI improvement similar to fluorine-induced NBTI improvement in SiOF case [11-12]. The major degradation of NBTI is caused by the positive charge trapping in the films rather than the interface generation, suggesting that the positive charge trapping is not completely caused by the H + capturing. Therefore, except for positive charge caused by H species, a large amount of extra trapping centers is also present in the HfO 2 /SiON gate stack. F atoms seem to effectively decorate these trapping centers, leading to less degradation. Fig. 7.10 (b) shows that the exponential values (~0.18) of power law relationship between V th and stress time. Devices with 208

AAR of at V g =-3.5 V are much larger than the devices with AAR of at V g = -3.5 V (~0.1), both with and without F incorporation. This implies that the degradation could be more serious for the devices with higher AAR (i.e., ) during NBTI stressing. Such V th shift observed in p-channel MOSFETs can be attributed to the enhanced positive charge build-up in the HfO 2 dielectric and an increase in interface state density, as shown in Fig. 7.11 (a) and Fig. 7.11 (b). In Fig. 7.12, pmos high-k dielectrics also demonstrate higher sensitivity to the BTS when subjecting to the plasma charging damage with larger antenna. Fig. 7.13 and Fig. 7.14 show the temperature dependence of the N tot and N it generation for the devices with a large antenna (e.g., AAR = ) under CVS stress. A large degradation in all parameters is observed when stress temperature increases [7]-[10]. These results show that when temperature increases, the increase in the bulk trap generation is higher than that in interface state generation, resulting in more serious V th shift degradation when plasma charging damage occurs. Bulk trap generation is the main degradation in HfO 2 high-k film, and is accelerated at high temperatures. More importantly, F-incorporated films do exhibit better NBTI improvement because hole traps can be electrically passivated. The above results confirm that the NBTI of PMOS devices is severely degraded by plasma charging effect. The possible mechanism is that the enhanced hole trapping events caused by the plasma charging increase the generation of interface states and bulk traps. The hole trapping in the bulk, rather than the interface generation, is the dominant mechanism responsible for the degradation; while it can be significantly improved by the F incorporation. 209

7. 3 Summary In this study, the effects of the plasma charging on pmosfets with HfO 2 /SiON gate stack were comprehensively investigated. In addition, we have also investigated the influences of F incorporation on the reliability of high K films. Our results show that the integrity of high-k films would be degraded by the plasma charging damages, especially during NBTI stress at high temperature and with higher antenna area ratio. As a result, the devices with large antenna depict degraded V th, N it and I d. The enhanced degradations are believed to occur during photo-resist stripping step. The build-up of positive trapped charges in the high-k dielectrics is the primary cause for aggravated NBTI, rather than interface states generation. Finally, we have also proposed that the CVS and NBTI characterization could be cleverly employed as a sensitive method for characterizing the antenna effects in the devices with HfO 2 /SiON gate stack. Both CVS and NBTI on pmosfets antenna-structures with HfO 2 /SiON gate stack are also found to be primarily due to charge trap generation in the bulk of HfO 2 ( N ot ), instead of at the interface ( N it ). For the first time, we demonstrated that plasma charging effect induces hole trapping in the HfO 2 /SiON gate stack; while it can be effectively suppressed with F incorporation. In other words, F incorporation can reduce hole traps in HfO 2 high-k film. 210

References [1] Calvin T. Gabriel, Gate Oxide Damage from Polysilicon Etching, J. Vac. Sci. Technol., B 9 (2), pp. 370, 1991. [2] E. Casta n, A. de Dios, L. Bailo n, and J. Barbolla, E. Cabruja, C. Dominguez, and E. Lora-Tamayo, Characterization of the Electrical Damage due to Polysilicon RIE (SF 6 + Cl 2 Plasma) Etching, J. Electrochem. Soc., vol. 139, No. 1, pp. 193, 1992. [3] Bing Yue Tsui, Shunn Her Liu, Geeng Lih, Jau Hwang Ho, Chia Haur Chang and Chin Yuan Lu, Recovery Phenomenon and Local Field Sensitivity on Wafer Charge-up Effect of Magnetically Enhanced Reactive Ion Etch System, IEEE Electron Device Lett., Vol. 16, p. 64, 1995. [4] S. Fang, S. Murakawa, and James P. McVittie, Modeling of Oxide Breakdown from Gate Charging During Resist Ashing, IEEE Trans. Electron Device, no. 11, p. 1848, 1994. [5] C. C. Chen, H. C. Lin, C. Y. Chang, C. C. Huang, C. H. Chien, T. Y. Huang and M. S. Liang Improved Plasma Charging Immunity in Ultra-Thin Gate Oxide with Fluorine and Nitrogen Implantation, International Symposium on Plasma Process-Induced Damage, pp. 121-124, Jan 2000. [6] C. C. Chen, H. C. Lin, C. Y. Chang, M. S. Liang, C. H. Chien, S. K. Hsien, and T. Y. Huang, Improved immunity to plasma damage in ultrathin nitrided oxides, IEEE Electron Device Lett., Vol. 21, pp. 15-17, Jan 2000. [7] C. E. Blat, E. H. Nicolian and E. H. Poindexter, Mechanism of negative-bias-temperature instability, J. Appl. Phys. Vol. 69, pp. 1721-1720, 1991 [8] N. Kimizuka, T. Yamamoto, T. Mogami, K. Yamaguchi, K. Imai and T. Horiuchi, 211

The impact of bias temperature instability for direct-tunneling ultra-thin gate oxide on MOSFET scaling, in Tech. Dig. Symp. on VLSI Technology, pp. 73-74, 1999. [9] T. Yamamoto, K. Uwasawa and T. Mogami, Bias temperature instability in scaled p + polysilicon gate p-mosfet s, IEEE Trans. Electron Devices, vol. 46, pp. 921-926, 1999. [10] N. Kimizuka, K. Yamaguchi, K. Imai, T. Lizuka, C. T. Liu, R. C. Keller and T. Horiuchi, NBTI enhancement by nitrogen incorporation into ultrathin gate oxide for 0.10-µm gate CMOS generation, in Tech. Dig. Symp. on VLSI Technology, pp.92-93, 2000. [11] C. H. Liu, M. T. Lee, C. Lin, J. Chen, K. Schruefer, J. Brighten, N. Rovedo, T. B. Hook, M. V. Khare, S. Huang, C. Wann, T. Chen, and T. H. Ning, Mechanism and process dependence of negative bias temperature instability (NBTI) for pmosfets with ultrathin gate dielectrics, in IEDM Tech. Dig., 2001, pp. 861 864. [12] Y. Mitani, M. Nagamine, H. Satake, and A. Toriumi, "NBTI Mechanism in ultra-thin gate dielectric- nitrogen-originated mechanism in SiON-, in IEDM Tech. Dig., 2002, pp. 509 512. 212

Fig. 7.1 The schematic of metal antenna transistor and definition of Antenna Area Ratio (AAR). 213

(a) (b) (b) Fig. 7.2 Wafer maps of (a) negative and (b) positive potential values recorded by CHARM-2 sensors. 214

N it (10 11 /cm 2 ) 20 15 10 5 0 Before H 2 sintering w/o-f w- F AAR Fix Amp PMOS L/W=0.8/5µm -6-4 -2 2 4 6 Position-from-Center (cm) (a) N it (10 10 /cm 2 ) 25 20 15 10 5 0 After H 2 sintering w/o-f w- F AAR Fix Amp PMOS L/W=0.8/5µm -6-4 -2 2 4 6 Position-from-Center (cm) (b) Fig. 7.3 N it of PMOS devices with and without F incorporation (a) before sintering (b) after sintering as a function of device location. 215

1.6 1.4 Before H 2 sintering PMOS L/W=0.8/5µm V th (V) 1.2 1.0 0.8 w/o-f w- F AAR -6-4 -2 2 4 6 Position-from-Center (cm) (a) 1.1 After H 2 sintering PMOS L/W=0.8/5µm V th (V) 1.0 0.9 0.8 w/o-f w- F AAR -6-4 -2 2 4 6 Position-from-Center (cm) (b) Fig. 7.4 V th of PMOS devices with and without F incorporation (a) before sintering (b) after sintering as a function of device location. 216

99 Probability(%) 90 70 50 30 10 w/o-f w- F AAR PMOS L/W=0.8/5µm 1 0.8 0.9 1.0 1.1 V th (V) Probability(%) 99 90 70 50 30 10 PMOS L/W=0.8/5µm (a) w/o-f w- F AAR 1 10 10 10 11 10 12 N it (1/cm 2 ) (b) Fig. 7.5 Cumulative probability of the (a) threshold voltage (V th ) and (b) interface trap (N it ). 217

40 30 w/o-f w- F AAR V g = 2.4 V I d (10-5 A) 20 10 T = 25 o C V g = 2.0 V V g = 1.6V 0 0.0-0.5-1.0 V d (V) -1.5 Fig. 7.6 Output characteristics for fresh devices with AAR of and. 218

V th (mv) 0-50 -100-150 -200-250 CVS = 4 V T = 25 o C w/o-f w- F AAR -300 10-1 10 0 10 1 10 2 10 3 10 4 Stress Time (s) (a) 400 w/o-f w- F AAR V th (mv) 100 α t 0.1 ~ t 0.13 10-1 10 0 10 1 10 2 10 3 10 4 Stress Time (s) (b) Fig. 7.7 Threshold voltage shift as a function of stress time, stressed at 25 o C, V g = 4 V (a) linear scale (b) logarithm scale. 219

2.0 N it (10 11 /cm 2 ) 1.5 1.0 0.5 w/o-f w- F AAR CVS = 4 V T = 25 o C 0.0 10-1 10 0 10 1 10 2 10 3 10 4 Stress Time (s) (a) N tot or N it (cm 2 ) 10 12 10 11 10 10 w/o-f w- F AAR 10 13 N tot N it α t 0.22 ~ t 0.23 10-1 10 0 10 1 10 2 10 3 10 4 Stress Time (s) (b) Fig. 7.8 (a) Interface trap generation and (b) total trap density increase as a function of stress time, stressed at 25 o C, V g = 4 V. 220

I d,sat degradation (%) 25 20 15 10 5 w/o-f w- F AAR CVS = 4 V T = 25 o C 0 10-1 10 0 10 1 10 2 10 3 10 4 Stress Time (s) Fig. 7.9 Drain current degradation in a saturation regime of stress time, stressed at 25 o C, V g = 4 V. 221

0-50 CVS = 3.5 V T = 125 o C V th (mv) -100-150 -200 w/o-f w- F AAR -250 10-1 10 0 10 1 10 2 10 3 10 4 Stress Time (s) (a) 300 V th (mv) 100 w/o-f w- F AAR α t 0.18 α t 0.1 10-1 10 0 10 1 10 2 10 3 10 4 Stress Time (s) (b) Fig. 7.10 Threshold voltage shift as a function of stress time, stressed at 125 o C, V g = 3.5 V (a) linear scale (b) logarithm scale. 222

3.0 N it (10 11 /cm 2 ) 2.0 1.0 w/o-f w- F AAR CVS = 3.5 V T = 125 o C 0.0 10-1 10 0 10 1 10 2 10 3 10 4 Stress Time (s) (a) N tot or N it (cm 2 ) 10 12 N tot 10 11 N it α t 0.25 ~ t 0.27 w/o-f w- F AAR 10-1 10 0 10 1 10 2 10 3 10 4 Stress Time (s) (b) Fig. 7.11 (a) Interface trap generation and (b) total trap density increase as a function of stress time, stressed at 125 o C, V g = 3.5 V. 223

I d,sat degradation (%) 20 15 10 5 w/o-f w- F AAR CVS = 3.5 V T = 125 o C 0 10-1 10 0 10 1 10 2 10 3 10 4 Stress Time (s) Fig. 7.12 Drain current degradation in a saturation regime of stress time, stressed at 125 o C, V g = 3.5 V. 224

V th (mv) 0-50 -100-150 -200 AAR = CVS = 3.5 V w/o-f w- F 25 o C 125 o C 125 o C 25 o C -250 10-1 10 0 10 1 10 2 10 3 10 4 Stress Time (s) (a) V th (mv) 250 100 w/o-f w- F 25 o C 125 o C α t 0.18 α t 0.02 10-1 10 0 10 1 10 2 10 3 10 4 Stress Time (s) (b) Fig. 7.13 Threshold voltage shift as a function of stress time under BTS at different stress temperature, V g = 3.5 V. AAR is (a) linear scale (b) logarithm scale. 225

N it (10 11 /cm 2 ) 3.0 2.0 1.0 AAR = CVS = 3.5 V w/o-f w- F 25 o C 125 o C 0.0 10-1 10 0 10 1 10 2 10 3 10 4 Stress Time (s) (a) N tot or N it (cm 2 ) 10 12 N tot 10 11 N it 10 10 α t 0.27 α t 0.22 w/o-f w- F 25 o C 125 o C 10-1 10 0 10 1 10 2 10 3 10 4 Stress Time (s) (b) Fig. 7.14 (a) Interface trap shift and (b) total trap shift as a function of stress time under BTS at different stress temperature, V g = 3.5 V. AAR =. 226

Chapter 8 Conclusions and Suggestions for Future Study 8.1 Conclusions In this dissertation, the electrical characteristics of pmosfets with HfO 2 /SiON gate stack subjected to various nitridations, i.e., pre-deposition plasma treatments, post-deposition N 2 O plasma Nitridation, low-temperature NH 3 Nitridation, and fluorine incorporation, were discussed. The related reliabilities, including CVS stress, NBTS, dynamic unipolar AC stress, and plasma charging damage, were comprehensively investigated. In addition, the characteristics of charge trapping in the HfO 2 high-k film also were studied. Several important results are summarized as follows: 1. In Chapter 2, we investigated the effects of various pre-deposition plasma treatments on HfO 2 /SiO 2 gate stacks. In order to significantly reduce gate leakage current, a good quality interfacial layer is essential prior to the deposition of high-k film. The samples with conventional RTA show much lower leakage current, lower frequency dispersion, and smaller hysteresis than the counterparts because of the formation of a thicker interfacial layer. We also studied hole trapping characteristics in HfO 2 /SiO x gate dielectrics stack. We proposed that hole trapping occurs through filling of pre-existing hole traps in HfO 2 film, and we employed continuous capture cross section model to fit our experimental data. The fitting results match well with experimental data, and the fitting parameter β possesses larger 227

distributed capture cross section than that of electron traps. 2. In Chapter 3, we proposed a post-deposition low-temperature (~ 400 C) NH 3 -treatment on the HfO 2 /SiO 2 gate stacks with TiN gate electrode. Our results indicate that samples subject to the LTN treatment exhibit superior C-V characteristics, less frequency dispersion, and lower gate leakage. In addition, the defect density in the bulk and the immunity against trap generations are significantly improved, especially for samples with subsequent 700 C PDA. 3. In Chapter 4, we investigated the effects of the post-deposition N 2 O plasma treatment on the characteristics of pmosfets with HfO 2 /SiON gate stack. We have found many improvements, including reduced leakage current, better subthreshold swing, enhanced normalized tranconductance, and higher driving current. These improvements were ascribed to the lower interface states and bulk traps, as confirmed by various types of charge pumping measurements. Although CP measurement was a powerful technique to evaluate the interface states and bulk traps presented in the high-k films, to precisely measure the bulk traps at a lower frequency, it should be better to measure charge pumping current (I cp ) and source/drain current (I ds ) simultaneously and confirm that I cp and I ds are identical. Noted that the effect of the leakage current on the CP measurement in PMOSFETs is rather different from that in NMOSFETs, where the leakage current will not contribute to the substrate current, but to the source/drain current only. In evaluation of the reliability, it was found that the degradation caused by the voltage stress was dominated by the charge trapping in the bulk of HfO 2 films, rather than interface states generation, no matter whether the N 2 O plasma treatment was employed or not. In addition, it was observed that the N 2 O plasma treatment did significantly improve the charge trapping characteristics, and the electron trapping was the main mechanism during stressing, which was opposite to the hole trapping observed in the case without the N 2 O plasma treatment. 228

4. In Chapter 5, several kinds of reliability testing for HfO 2 /SiON gate stack with and without the post-deposition N 2 O plasma treatment have been performed, such as dynamic stress, investigation of bulk or IL breakdown, and NBTI. It was found that the post-n 2 O plasma treatment may not be beneficial because of the resulting higher electrons trapping under AC stress, even though it exhibits several advantages as discussed in Chapter 4. Bias temperature instability shows that leakage current can affect the threshold voltage shift behavior, due to the electron and hole current components in the gate leakage, resulting from the asymmetric energy band diagram. Our data also confirm that two different mechanisms exist in HfO 2 /SiON gate stacks, i.e., trapping and the NBTI. Moreover, the effect of trapping is the dominant degradation for HfO 2 /SiON gate stacks with and without the post-deposition N 2 O plasma treatment, rather than the reaction-diffusion effect. However, the interface state generation still obeys the reaction-diffusion model since the interfacial layer is SiON film. 5. In Chapter 6, the effects of fluorine incorporation into HfO 2 /SiON gate stack were investigated. We found that F introduction only negligibly impacts the fundamental electrical properties of the fabricated transistors. All leakage currents can be categorized by fitting to be of Frenkel-Poole type. The barrier height of electrons and holes for the control sample are 1.02eV and 1.22eV, respectively. The results are also the same for the F-incorporated sample. We also studied the NBTI mechanism and AC dynamic stressing of the polysilicon gate HfO 2 MOSFETs, with and without F incorporation. V th is primarily caused by the charge traps in the HfO 2 dielectric, not by the interfacial degradation. F incorporation is effective in suppressing N it as well as N ot, thus improving threshold voltage instability. For traditional SiO 2 gate dielectric, both V th and N it are improved by fluorine incorporation, while maintaining almost the same activation energies of about 0.2 ev. Similar trends are observed in our devices. The activation energy of V th is 0.08 ev and that of N it is 0.14 ev for both devices. 229

Fluorine is found to effectively electrically passivate traps without changing the NBTI mechanism. The experimental results of dynamic AC stressing show that threshold voltage shifts toward more negative voltage in DC stress, but shifts toward more positive voltage under AC unipolar stress. This is believed to be due to less hole charge trapping during on-time. The interface trap generation depends weakly on both frequency and duty cycle. Instead of interface trap, the bulk trap of HfO 2 eventually plays a preponderant role during AC stress. 6. In Chapter 7, we have clearly shown that plasma charging damage introduces more hole traps in the HfO 2 /SiON gate stack, thus aggravating the NBTI. F incorporation can significantly reduce the impact of plasma charging effect. Suppressing the traps in bulk is important for pmosfets with HfO 2 /SiON gate stack. Fluorine incorporation is found to be an excellent technique to improve BTI immunity and strengthen the immunity against plasma charging damage, thus enhances high-k devices stability and reliability. 8.2 Suggestions for Future Study There are some works valuable for future researches: It is generally conceived that the introduction of high-k gate dielectrics is inevitable for the technology nodes of 50 nm and beyond, in order to satisfy the stand-by power requirement without sacrificing metal oxide semiconductor field effect transistor (MOSFET) performance. HfO 2 is considered as one of the most promising high-k dielectrics. However, threshold voltage instability and inadequate mobility of HfO 2 MOSFETs are major issues that remain to be solved. 1. For the threshold voltage instability issue, we found that the exponential value of V th for pmosfets with HfO 2 gate dielectric is voltage and temperature 230

dependent due to the charge trapping in bulk HfO 2. More efforts on developing the model of time evolution of V th instability, so that we could extrapolate ten years lifetime for devices by this model, are necessary. 2. To fabricate thinner interfacial layer and gain lower gate leakage current is very important for future high-k application. 3. To fabricate Hf-based films composed of three or four elements and investigate the electrical characteristics, mobility, and reliabilities. 4. How to more accurately and automatically estimate high-k films is very important for the application of high-k film to ULSI industry. 5. The relationship between the electron and hole trapping and the trapping position in the energy band is important for investigating the dielectric breakdown and reliabilities. 6. It is important to clarify the issue: Is the breakdown mechanism related to electrons or holes? 7. The bond structure of HfO 2 film with fluorine incorporation is important, and deserves more research efforts. 8. More research efforts are needed in understanding the Fermi-level pinning effect of high-k film and gate electrode, and impacts of various gate electrodes on electrical characteristics, charge trapping, mobility, and reliability of high k film. 9. Introduction of the strained silicon is known to enhance channel mobility. However, locally strained pmosfets with HfO 2 gate dielectric have not been reported. More research efforts are needed to understand channel mobility and reliability of this structure, as well as their impacts on future ULSI technology. 231

學經歷資料表 姓名 : 盧文泰 性別 : 男 生日 : 民國 64 年 5 月 28 日 籍貫 : 台灣省台南縣 住址 : 台南縣柳營鄉果毅村 1 鄰 12 號之 6 學歷 : 國立中興大學物理學系 1993 年 9 月 ~1997 年 6 月國立交通大學電子工程研究所碩士班 1997 年 9 月 ~1999 年 6 月國立交通大學電子工程研究所博士班 1999 年 9 月 ~2005 年 9 月 博士論文題目 : 氮化處理與氟摻雜對二氧化鉿堆疊式閘極介電層金氧半場效電晶體 其電性特性與可靠性影響 Effects of Nitridation and Fluorine Incorporation on the Electrical Characteristics and Reliabilities of MOSFETs with HfO 2 /SiON Gate Stack

Publication List A. International Journal: 1. W. T. Lu, P. C. Lin, T. Y. Huang, C. H. Chien, M. J. Yang, I. J Huang and P. Lehnen, The characteristics of hole trapping in HfO 2 /SiO 2 gate dielectrics with TiN gate electrode, App. Phys. Lett, Vol. 85, pp. 3525-3527, 2004. 2. W. T. Lu, C. H. Chien, I. J Huang, M. J. Yang, P. Lehnen and T. Y. Huang, Effects of low-temperature NH 3 -treatment on the characteristics of HfO 2 /SiO 2 gate stack, Journal of Electrochemical Society, Volume 152, Number 11. 3. W. T. Lu, C. H. Chien, W. T Lan, T. C. Lee, M. J. Yang, S. W. Shen, P. Lehnen and T. Y. Huang, Improvements on the electrical characteristics of pmosfets with HfO 2 gate stacks by Post-Deposition N 2 O plasma treatment, accepted to Jpn. J. Appl. Phys.. 4. W. T. Lu, C. H. Chien, W. T Lan, T. C. Lee, P. Lehnen and T. Y. Huang, Improved Reliability of HfO 2 /SiON Gate Stack by Fluorine Incorporation, submitted to IEEE Electron Device Lett.. B. International Conference: 1. W. T. Lu, C. H. Chien, Y. C Lin, M. J. Yang and T. Y. Huang, Effects of low temperature NH 3 treatment on HfO 2 /SiO 2 stack gate dielectrics fabricated by MOCVD system, in the 2004 Joint International Meeting (including the 206th Meeting of The electrochemical Society), held 2004 fall in Honolulu, October 3-October 8, 2004. 2. Da-Yuan Lee, Horng-Chih Lin, Wan-Ju Chiang, Wen-Tai Lu, Guo-Wei Huang, and Tahui Wang, Process and Doping Species Dependence of Negative-Bias-Temperature Instability for P-Channel MOSFETs, Plasma process Induced Damage, pp. 150-153, 2002. 3. Da-Yuan Lee, Horng-Chih Lin, Wan-Ju Chiang, Wen-Tai Lu, Guo-Wei Huang, Tiao-Yuan Huang, and Tahui Wang, Effects of Process Treatments on Negative-Bias-Temperature Instability of P-Channel MOSFETs, in International Electron Devices and Materials Symposium, pp. 515-518, Taipei,

Taiwan, 2002. C. Local Conference: 1. Da-Yuan Lee, Horng-Chih Lin, Wan-Ju Chiang, Wen-Tai Lu, Guo-Wei Huang, Tiao-Yuan Huang, and Tahui Wang, Enhanced Negative-Bias-Temperature Instability of P-Channel MOSFET by Plasma Charging Damage, in Symposium on Nano Device Technology, Hsin-Chu, Taiwan, 2001. 2. Da-Yuan Lee, Horng-Chih Lin, Wan-Ju Chiang, Wen-Tai Lu, Guo-Wei Huang, Tiao-Yuan Huang, and Tahui Wang, Effects of Process Treatments on Negative-Bias-Temperature Instability of P-Channel MOSFETs, in Electron Devices and Materials Symposium, pp. 515-518, Taipei, Taiwan, 2001.