The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC

Similar documents
EUV Lithography Towards Industrialization

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

EUV lithography industrialization for HVM

Cost of Ownership Considerations for Maskless Lithography

EUVL Readiness for High Volume Manufacturing

Cost Implications of EUV Lithography Technology Decisions

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing

Yield. Economics. Victor Ovchinnikov. Chapters 36, 37

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

EUV Lithography Status and Key Challenges for HVM Implementation

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond

Photolithography II ( Part 1 )

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Figure 1 below shows the generic process flow of an LELE method of double patterning.

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

EUVL for HVM: Progress Update

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

Critical Dimension Uniformity using Reticle Inspection Tool

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES

Competitive Semiconductor Manufacturing

Litho scenario solutions for FinFET SRAM 22nm node

Overview of EUV Lithography and EUV Optics Contamination

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure

Extending the Era of Moore s Law

Lecture 8. Photoresists and Non-optical Lithography

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

We published the text from the next page.

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION METROLOGY FOR

Nanoimprint Lithography

There s Plenty of Room at the Bottom and at the Top

Patterning Challenges and Opportunities: Etch and Film

ASML Approach to Euv Reticle Handling

High Optical Density Photomasks For Large Exposure Applications

FOR SEMICONDUCTORS 2009 EDITION METROLOGY

TECHNOLOGY ROADMAP METROLOGY 2013 EDITION FOR THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY

MICRO AND NANOPROCESSING TECHNOLOGIES

Evolution of Optical Lithography towards 22nm and beyond Donis Flagello

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Nanometer Ceria Slurries for Front-End CMP Applications, Extendable to 65nm Technology Node and Beyond

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG

Selective Processes: Challenges and Opportunities in Semiconductor Scaling

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Actinic review of EUV masks: First results from the AIMS EUV system integration

Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation

Fast Non-destructive Optical Measurements of Critical Dimension Uniformity and Linearity on AEI and ASI Phase-shift Masks

Chromeless Phase Lithography (CPL)

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry

Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML

Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X

Mask Characterization for Double Patterning Lithography

From Physics to Logic

The ever-increasing financial stakes faced by semiconductor

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

IEUVI Mask Technical Working Group

Double patterning for 32nm and below: an update. ASML US Inc, 4211 Burton Dr. Santa Clara, CA, USA. IMEC vzw, Kapeldreef 75, B-3001 Heverlee, Belgium;

193 nm STEP AND SCAN LITHOGRAPHY

Process Watch: Having Confidence in Your Confidence Level

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT

Status of EUV Sources for Mask Metrology

Bossung Curves; an old technique with a new twist for sub-90 nm nodes Terrence E. Zavecz TEA Systems

Sensors and Metrology. Outline

Current development status of Shin-Etsu EUV pellicle

VACUUM TECHNOLOGIES NEEDED FOR 3D DEVICE PROCESSING

Hyper-NA imaging of 45nm node random CH layouts using inverse lithography

ALD & ALE Tutorial Speakers and Schedule

per chip (approx) 1 SSI (Small Scale Integration) Up to 99

Progress on ASML s EUV Alpha Demo Tool

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Cost-driven mask strategies considering parametric yield, defectivity, and production volume. Kwangok Jeong Andrew B. Kahng Christopher J.

ECE321 Electronics I

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007

Towards 3nm overlay and critical dimension uniformity: an integrated error budget for double patterning lithography

A Cost and Yield Analysis of Wafer-to-wafer Bonding. Amy Palesko SavanSys Solutions LLC

EV Group. Enabling processes for 3D interposer. Dr. Thorsten Matthias EV Group

Effect of Incoming Quality of Sulfuric Acid on Inline Defects

Optimized Stepping for Fan-out Wafer and Panel Packaging P.10

Lecture 0: Introduction

Laboratory instruction SENSOR DEVICES

Discussions start next week Labs start in week 3 Homework #1 is due next Friday

ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Title: ASML PAS 5500 Job Creation Semiconductor & Microsystems Fabrication Laboratory Revision: D Rev Date: 09/20/2012

EE143 LAB. Professor N Cheung, U.C. Berkeley

EEC 118 Lecture #16: Manufacturability. Rajeevan Amirtharajah University of California, Davis

Recent progress in nanoparticle photoresist development for EUV lithography

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Nanotechnology Nanofabrication of Functional Materials. Marin Alexe Max Planck Institute of Microstructure Physics, Halle - Germany

Padraig Dunne, UCD School of Physics Dublin, Ireland.

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law

Title: ASML Stepper Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 12/21/2010

Silicon VLSI Technology. Fundamentals, Practice and Modeling

Study of Block Copolymer Lithography using SCFT: New Patterns and Methodology

Ebeam based mask repair as door opener for defect free EUV masks M. Waiblinger a, Tristan Bret a, R. Jonckheere b, D.

ELEN0037 Microelectronic IC Design. Prof. Dr. Michael Kraft

Lecture 14 Advanced Photolithography

Lithography Issues in Nano Chip Design and Manufacture

Story. Cover. An Automated Method for Overlay Sample Plan Optimization

Laboratory instruction SENSOR DEVICES

Transcription:

The Impact of EUV on the Semiconductor Supply Chain Scotten W. Jones President IC Knowledge LLC sjones@icknowledge.com

Outline Why EUV Who needs EUV EUV adoption roadmaps EUV wafer volume projections Impact of EUV Conclusion 2

Why EUV 1. Cycle time a single EUV exposure replaces 3 or more optical exposures. Each exposure takes approximately 1.5 days. 2. Edge Placement Error (EPE) multiple masks to create a pattern increases edge placement error. A single EUV exposure can improve EPE up to 90%. 3. Pattern fidelity and electrical distributions the quality of EUV patterns is better and have shown tighter electrical distributions. 4. Cost probably not a cost reduction at least initially, being done for the first three reasons. 3

Who Needs EUV? NAND No NAND is transitioning from 2D NAND that was lithography driven to 3D NAND with relatively large features and layer driven scaling. 3D NAND is driven by deposition and etch, not lithography. Logic Yes 7nm is ramping now with mid 80s mask counts and many multipatterning layers, 5nm will be >100 mask layers with cycles time ~6 months and edge placement error issues. EUV adoption will begin in 2 nd and 3 rd generation 7nm processes. DRAM Yes, but logic will go first DRAM has manageable mask counts, only a few multipattering layers and is capacitor limited. EUV will likely be introduced for a few layers at some point but will trail logic. Samsung has 1x (18nm) DRAM in production and is ramping 1y without EUV. 4

7nm Foundry Logic - Generation 1 (7) What optical process, no EUV. Who/When TSMC (7FF) ramps 1H 2018. GLOBALFOUNDRIES (7LP) ramps 2H 2018. Layers - no EUV layers. What is needed nothing, optical technology, ramping now. Layer Pitch (nm) Lithography Fin 29-30 SAQP Gate 56-57 SADP Contact ~56-57 LE3 1x Metal 40 1D SADP 1x Via ~60 LE3 5

7nm Foundry Logic - Generation 2 (7c) What EUV for contacts and vias, possibly without a pellicle. Provides no shrink but shorter cycle time. Who/When GLOBALFOUNDRIES (7LP) early 2019. TSMC (7FF) early 2019. Layers - 15 optical layers replaced by 5 EUV layers. What is needed High throughput EUV tools with 90% uptime currently around 75% per Intel at IEDM. ASML has to execute on their plan. High power pellicle if a pellicle is used. Reticle inspection with ebeam, OK but not optimal. Photoresists are probably OK, but dose may be higher than desired at ~30mJ/cm 2. Layer Pitch (nm) Lithography Fin 29-30 SAQP Gate 56-57 SADP Contact ~56-57 EUV 1x Metal 40 1D SADP 1x Via ~60 EUV 6

7nm Foundry Logic - Generation 3 (7+) What EUV for contacts and vias with or without a pellicle. 1x metal with a pellicle. Provides a metal shrink from 7c for GLOBALFOUNDRIES and TSMC. Who/When Samsung (7LPP) early 2019 (first 7nm for Samsung). TSMC (7FF+) mid 2019. GLOBALFOUNDRIES (7LP+) late 2019. Layers - 23 optical layers replaced by 9 EUV layers. What is needed Same items as 7c Redesign for GLOBALFOUNDRIES and TSMC. High power pellicle, 90% transmission desirable. Actinic inspection desirable. Layer Pitch (nm) Lithography Fin 29-30 SAQP Gate 56-57 SADP Contact ~56-57 EUV 1x Metal 36 2D EUV 1x Via ~60 EUV 7

What About Intel Intel 10nm is ramping now. All optical process with similar density to foundry 7+ processes. Intel i10 ~ foundry 7+. During an interview with Intel s director of lithography Janice Golda she indicated Intel has not decided on a node for EUV introduction but will introduce it when ready. Intel process introductions stretching out, when will 7nm ramp? Intel plans 10+ and 10++, I am assuming 10+ for EUV introduction 2019. Intel i10+ similar to foundry 7+. Layer Pitch (nm) Lithography Fin 34 SAQP Gate 54 SADP Contact ~54 LE3 1x Metal 36-44 SAQP/SADP 1x Via ~60 LE3 or SADP 8

5nm Foundry Logic (5) What EUV for 11 to 12 layers with a pellicle. Possible EUV for Fin cuts? Who/When Samsung (6LPP/5LPP) 2019 TSMC (5FF) 2019 GLOBALFOUNDRIES assuming 2020 Layers - 11 to 12 EUV layers What is needed Same items as 7nm. Pellicle must be 90% or better transmission. Actinic inspection highly desirable. Much better photoresist, defectivity improvement and much lower dose. Layer Pitch (nm) Lithography Fin 20 SAQP Gate 45-50 SADP Contact 45-50 EUV 1x Metal 26 1D EUV 1x Via 38 EUV 9

EUV Throughput ASML baseline 250 watt source, no pellicle, 96 steps, 20mJ/cm 2 = (125wph). Logic steps ~110. Membrane higher transmission than pellicle. Pellicle transmission Comment Pellicle only Pellicle + membrane 83% Current 69% ~60% 90% Target 81% ~77% Pellicle Transmission Throughput Versus Dose 10

Dose and Throughput From the previous slide it can be seen that dose has an enormous impact on throughput. I have spoken to several lithographers at different companies working on EUV. For 7nm foundry the expectation is around 30mJ/cm 2. For 5nm foundry I had multiple inputs of around 70mJ/cm 2. There is significant work that needs to be done on photoresist for 5nm to get this dose down to a reasonable range (<50mJ/cm 2 ) and the work needs to be done quickly to support 5nm process introductions around 2019. 11

Wafer Volumes (thousands) Process 2018 2019 2020 2021 EUV layers 7 & i10 430 970 820 510 0 7c 0 230 180 130 5 7+ & i10+ 0 570 1,530 1,940 9 5 0 100 365 1,320 11 Total EUV wafers 0 900 2,075 3,390 Total WW 300mm logic wafers 29,574 32,694 38,454 39,774 EUV % of WW 300mm logic 0.00% 2.75% 5.40% 8.52% EUV layers 0 7,380 18,685 32,630 The total EUV layers forecast utilizes most of the capacity of ASML s projected EUV tool shipments based on a set of conservative throughput assumptions. Total 300mm logic wafers is from the IC Knowledge 300mm Watch database 2017 revision 06. DRAM will likely start to ramp in 2020 and 2021 and add additional layers. 12

Masks 7 foundry has a 40nm minimum metal pitch (MMP) done with optical SADP and LE3 optical contacts and vias. 7c version uses EUV for contacts and vias only. 7+ has a 36nm MMP with EUV for contacts, vias and 1X metal. 5nm has 26nm MMP with EUV for contacts, vias, and 1X metal. Possibly EUV fin cut? 5nm optical cycle time Mask Counts and Cycle Time 13

7nm EUV Contacts/Vias Versus Multi-patterning 40K wpm Fab located in Taiwan. Greenfield fab still depreciating. 10,000 exposures per reticle, EUV reticle 6X the cost of an ArFi reticle. Assumes EUV for 5 contact/via levels at 79wph versus LE3. 83 mask optical process, 73 mask EUV process. Assuming 250 watts at 90% uptime, we are OK for 7nm contact/via. Relative performance of EUV versus multi-patterning Source: IC Knowledge Strategic Cost Model 2017 revision 05 14

Reticle Amortization 5nm Logic process, 5nm optical with 111 mask layers, EUV with 70 mask layers EUV reticles 2x, 4x or 6x ArFi reticle cost. Exposures per reticle as noted. Even at 4x the cost of an ArFi reticle, EUV reticle set costs are lower than optical reticle set costs due to fewer reticles. 5nm products need to run in high volume (>10K exposures/reticle) to amortize reticle set costs. Reticle set amortization Source: IC Knowledge Strategic Cost Model 2017 revision 05 15

Logic Process Steps The implementation of EUV reduces deposition and etch steps versus multi-patterning. Overall increases in process complexity moving to 5nm mitigates the effect to some extent. 3D NAND increasing layer counts should more than offset the loss in logic opportunity for Deposition and Etch. Process steps by type Source: IC Knowledge Strategic Cost Model 2017 revision 05 16

Logic Material Spending EUV reduces material spending on deposition, etch and spin-on materials and even lithography materials. The move to 5nm mitigate this to some extent. Material spending Source: IC Knowledge Strategic Cost Model 2017 revision 05 17

Conclusion The major pieces are in-place for EUV adoption for contacts and vias for 7nm logic as long as reasonable uptime is reached. If pellicles are needed a pellicle solution will likely be available in time. 7+ with EUV for metal will require a pellicle. A pellicle solution will likely be available in time. A lot of work on photoresist is still needed for 5nm. Better pellicle transmission and actinic inspection are both highly desirable. The ramp up of EUV will initially be focused on logic and relatively slow. The impact on materials and equipment will be fairly small and likely more than offset by other product segments. 18

Thank you to: Mike Lercel of ASML, Harry Levinson of Global Foundries, and Janice Golda of Intel for helpful discussions. 19