A CONTACT-MECHANICS BASED MODEL FOR DISHING AND EROSION IN

Similar documents
Analytical solution for polish-rate decay in chemical mechanical polishing

Chemical Mechanical Planarization

A Mechanical Model for Erosion in Copper Chemical-Mechanical Polishing

Modeling Planarization in Chemical-Mechanical Polishing

A COMPACT MODEL FOR SPHERICAL ROUGH CONTACTS

Contact Modeling of Rough Surfaces. Robert L. Jackson Mechanical Engineering Department Auburn University

Figure 43. Some common mechanical systems involving contact.

A FINITE ELEMENT STUDY OF ELASTIC-PLASTIC HEMISPHERICAL CONTACT BEHAVIOR AGAINST A RIGID FLAT UNDER VARYING MODULUS OF ELASTICITY AND SPHERE RADIUS

VIBRATION MODELING IN CMP. M Brij Bhushan IIT Madras

Modeling and control of material removal and defectivity in chemical mechanical planarization

CONTACT MODEL FOR A ROUGH SURFACE

EFFECT OF STRAIN HARDENING ON ELASTIC-PLASTIC CONTACT BEHAVIOUR OF A SPHERE AGAINST A RIGID FLAT A FINITE ELEMENT STUDY

Experimental Investigation of Fully Plastic Contact of a Sphere Against a Hard Flat

A General Equation for Fitting Contact Area and Friction vs Load Measurements

Sinan Müftü Associate Professor Department of Mechanical Engineering Northeastern University, 334 SN Boston, MA

Pad porosity, compressibility and slurry delivery effects in chemicalmechanical planarization: modeling and experiments

A Finite Element Study of Elastic-Plastic Hemispherical Contact Behavior against a Rigid Flat under Varying Modulus of Elasticity and Sphere Radius

THREE-DIMENSIONAL SIMULATION OF THERMAL OXIDATION AND THE INFLUENCE OF STRESS

The Effect of Pad-asperity Curvature on Material Removal Rate in Chemical-mechanical Polishing

Supplementary Material

Design of a fastener based on negative Poisson's ratio foam adapted from

Determining the Elastic Modulus and Hardness of an Ultrathin Film on a Substrate Using Nanoindentation

Measurement and modelling of contact stiffness

The Mechanics of CMP and Post-CMP Cleaning

21 th Annual Workshop on Mathematical Problems in Industry Worcester Polytechnic Institute, June 13 17, 2005

ME 383S Bryant February 17, 2006 CONTACT. Mechanical interaction of bodies via surfaces

Three-Dimensional Chemical Mechanical Planarization Slurry Flow Model Based on Lubrication Theory

Computationally efficient modelling of pattern dependencies in the micro-embossing of thermoplastic polymers

Abstract. 1 Introduction

UNLOADING OF AN ELASTIC-PLASTIC LOADED SPHERICAL CONTACT

Supplementary Figure 1 shows overall fabrication process and detailed illustrations are given

New Representation of Bearings in LS-DYNA

Influential Factors on Adhesion between Wheel and Rail under Wet Conditions

Christopher L. Borst Texas Instruments, Inc. Dallas, TX. William N. Gill Rensselaer Polytechnic Institute Troy, NY

A Note on Suhir s Solution of Thermal Stresses for a Die-Substrate Assembly

Normal contact and friction of rubber with model randomly rough surfaces

Nanometer Ceria Slurries for Front-End CMP Applications, Extendable to 65nm Technology Node and Beyond

Stress in Flip-Chip Solder Bumps due to Package Warpage -- Matt Pharr

21 th Annual Workshop on Mathematical Problems in Industry Worcester Polytechnic Institute, June 13 17, 2005

Effect of Slurry Flow Rate on Tribological, Thermal, and Removal Rate Attributes of Copper CMP

Hydrodynamics of Slurry Flow in Chemical Mechanical Polishing

Chapter 2 A Simple, Clean-Metal Contact Resistance Model

Minimizing Thermally Induced Interfacial Shearing Stress in a Thermoelectric Module

Design of a hydrostatic symmetric-pad bearing with the membrane-type restrictor

A new model for surface roughness evolution in the Chemical Mechanical Polishing (CMP) process

Mat. Res. Soc. Symp. Proc. Vol Materials Research Society

An analysis of elasto-plastic sliding spherical asperity interaction

! Importance of Particle Adhesion! History of Particle Adhesion! Method of measurement of Adhesion! Adhesion Induced Deformation

1 INTRODUCTION 2 SAMPLE PREPARATIONS

EE C245 ME C218 Introduction to MEMS Design

Bacillus spores as building blocks for stimuliresponsive materials and nanogenerators

Review of Thermal Joint Resistance Models for Non-Conforming Rough Surfaces in a Vacuum

ADHESION OF AN AXISYMMETRIC ELASTIC BODY: RANGES OF VALIDITY OF MONOMIAL APPROXIMATIONS AND A TRANSITION MODEL

Expansion of circular tubes by rigid tubes as impact energy absorbers: experimental and theoretical investigation

Module 7: Micromechanics Lecture 34: Self Consistent, Mori -Tanaka and Halpin -Tsai Models. Introduction. The Lecture Contains. Self Consistent Method

Thermal Contact Resistance of Nonconforming Rough Surfaces, Part 1: Contact Mechanics Model

Bending of Simply Supported Isotropic and Composite Laminate Plates

DEVELOPMENT OF MEASURING SYSTEM FOR STRESS BY MEANS OF IMAGE PLATE FOR LABORATORY X-RAY EXPERIMENT

Design and Analysis of Various Microcantilever Shapes for MEMS Based Sensing

Mechanics of Materials II. Chapter III. A review of the fundamental formulation of stress, strain, and deflection

Analysis of contact deformation between a coated flat plate and a sphere and its practical application

A scratch intersection model of material removal during Chemical Mechanical Planarization (CMP)

Effect of Strain Hardening on Unloading of a Deformable Sphere Loaded against a Rigid Flat A Finite Element Study

Mechanics of wafer bonding: Effect of clamping

Fundamental Tribological and Removal Rate Studies of Inter-Layer Dielectric Chemical Mechanical Planarization

CHEMICAL MECHANICAL PLANARISATION OF DAMASCENE ARCHITECTURE SUBSTRATES

Stiffness and deformation of asperities in a rough contact

ON THE EFFECT OF SPECTRAL CHARACTERISTICS OF ROUGHNESS ON CONTACT PRESSURE DISTIRBUTION

EE C247B / ME C218 INTRODUCTION TO MEMS DESIGN SPRING 2016 C. NGUYEN PROBLEM SET #4

A COMPACT MODEL FOR SPHERICAL ROUGH CONTACTS

1 Introduction IPICSE-2016

SUPPLEMENTARY FIGURES

Arbitrary Normal and Tangential Loading Sequences for Circular Hertzian Contact

Modeling of Contact Interfaces in Built-up Structures by Zero-thickness Elements

STUDIES ON NANO-INDENTATION OF POLYMERIC THIN FILMS USING FINITE ELEMENT METHODS

Modeling of MEMS Fabrication Processes

Fundamentals of Fluid Dynamics: Ideal Flow Theory & Basic Aerodynamics

Mapping the mechanical stiffness of live cells with the scanning ion conductance microscope

ECE520 VLSI Design. Lecture 8: Interconnect Manufacturing and Modeling. Payman Zarkesh-Ha

INTERNATIONAL JOURNAL OF CIVIL AND STRUCTURAL ENGINEERING Volume 4, No 1, 2013

Relaxation of a Strained Elastic Film on a Viscous Layer

Available online at ScienceDirect. Procedia IUTAM 13 (2015 ) 82 89

Surface shape and contact pressure evolution in two component surfaces: application to copper chemical mechanical polishing

transition from boundary lubrication to hydrodynamic lubrication

Topology Optimization of Low Frequency Structure with Application to Vibration Energy Harvester

Finite Element Analysis of Elastohydrodynamic Cylindrical Journal Bearing

MECHANICS, MECHANISMS, AND MODELING OF THE CHEMICAL MECHANICAL POLISHING PROCESS

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes

Shear stresses around circular cylindrical openings

Mechanics of Irregular Honeycomb Structures

ULTRASONIC INVESTIGATION OF THE STIFFNESS OF GRAPHITE-

Abrasive-free Copper Chemical Mechanical Polishing in an Orbital Polisher

Notes on Rubber Friction

NONLINEAR WAVE EQUATIONS ARISING IN MODELING OF SOME STRAIN-HARDENING STRUCTURES

Regular, low density cellular structures - rapid prototyping, numerical simulation, mechanical testing

Modeling of Photoinduced Deformation in Silicon Microcantilevers

INDENTATION RESISTANCE OF AN ALUMINIUM FOAM

Computational Analysis of Foil Air Journal Bearings Using a Runtime-Efficient Segmented Foil Model

Deterministic repeated contact of rough surfaces

Unit 18 Other Issues In Buckling/Structural Instability

Transcription:

Mat. Res. Soc. Symp. Proc. Vol. 671 001 Materials Research Society A CONTACT-MECHANICS BASED MODEL FOR DISHING AND EROSION IN CHEMICAL-MECHANICAL POLISHING Joost J. Vlassak Division of Engineering and Applied Sciences, Harvard University 311 Pierce Hall, 9 Oxford Street, Cambridge MA 0138 ABSTRACT We present a new model for dishing and erosion during chemical-mechanical planarization. According to this model, dishing and erosion is controlled by the local pressure distribution between features on the wafer and the polishing pad. The model uses a contact mechanics analysis based on the work by Greenwood to evaluate the pressure distribution taking into account the compliance of the pad as well as its roughness. Using the model, the effects of pattern density, line width, applied down-force, selectivity, pad properties, etc. on both dishing and erosion can be readily evaluated. The model may be applied to CMP used for oxide planarization, metal damascene or shallow trench isolation. The model is implemented as an algorithm that quickly calculates the evolution of the profile of a set of features on the wafer during the polishing process. With proper calibration of the process parameters, it can be used as a tool in optimizing the CMP process and implementing CMP design rules. INTRODUCTION With the advent of shallow trench isolation (STI) and copper interconnects, chemicalmechanical polishing (CMP) has emerged as one of the most important operations in the fabrication of integrated circuits. Even so, the CMP process is still fairly poorly understood. This is so because, in spite of its apparent simplicity, CMP is a complicated process in which both mechanical and chemical factors play an important role. Chemical-mechanical polishing is very sensitive to pattern geometry effects and may results in dishing of metal lines and erosion of dielectrics. Since the surface topography of a wafer after a CMP process step has a significant impact on wafer yield, numerous attempts have been made to predict the evolution of the wafer surface during the polishing process. One of the first models that allowed quantitative predictions of wafer topography was a phenomenological model proposed by Warnock 1. While useful in practice for predicting dishing and erosion, this type of model does not provide much insight in the actual CMP process. More recent models are mechanics based and can be classified in several groups. One group focuses on slurry hydrodynamics to determine the pressure distribution between the wafer and polishing pad. These models can be either wafer-scale, 3 or feature-scale 4 models. Another group of models is based on a contact-mechanics analysis of the CMP process. According to these models, elastic deformation of the polishing pad determines M4.6.1

the pressure distribution between wafer and pad and thus plays an important role in determining the wafer surface profile after polishing 5, 6. Yet another model takes into account the roughness of the polishing pad and describes CMP in terms of asperity contacts between wafer and pad 7. In this paper, we present a contact-mechanics based model for the evolution of the surface profile of a wafer during the CMP process that takes into account both the roughness and elastic deformation of the polishing pad. This model is then used to study dielectric erosion and metal dishing as a function of CMP process parameters and wafer pattern geometry. DESCRIPTION OF THE MODEL We model the CMP process as the contact of a compliant polishing pad with the surface of a rigid wafer (See Fig. 1). The surface of the pad is assumed to be rough and to contain asperities with a given height distribution. The surface of the wafer is assumed to be patterned. The wafer pattern is quite general and can be any pattern found in copper CMP, oxide planarization or STI. When the wafer is pressed against the pad, some of the pad asperities contact the wafer directly, transferring the force from the wafer to the pad and elastically deforming the polishing pad. The contact pressure between pad and wafer can then be calculated using contact mechanics following the approach first formulated by Greenwood et al. 8. Once the contact pressure is known, the local removal rate is determined using Preston s equation. The heights of the pad asperities are assumed to follow an exponential distribution: 1 z Pz ()= exp, (1) σ σ where z is the height of the asperity above or below the pad surface. The variable σ is a characteristic roughness parameter that represents the width of the asperity height distribution and that can be measured for a given pad. We assume that the tops of the asperities are spherical, all with the same radius, and that they deform elastically according to the laws governing Hertzian contact. Let T(x, t) be a function describing the surface profile of the wafer at a given time t. The pattern in the wafer is assumed to be two-dimensional and periodic with period L, but otherwise perfectly general. By using a periodic function, a wide range of surface profiles can be simulated from an isolated line in a field ( L ) to periodic arrays of dense lines. Pad d(x, t) z Metal Wafer Fig. 1. Schematic representation of the contact model. w(x, t) T(x, t) x M4.6.

When the wafer is pressed against the polishing pad, asperities with heights greater than the gap between wafer and pad will be compressed and transfer load from the wafer to the pad, which in turn will deform elastically. If w(x, t) represents the shape of the deformed pad surface at time t, then the gap between pad surface and wafer is dxt (, )= wxt (, ) Txt (, ). ( ) The force transmitted by an individual asperity of height z is then given by the Hertz formula 3 4 E F = ( z d( x, t) ), (3) 3 κ 1 ν where E and ν are Young s modulus and Poisson s ratio of the pad, respectively, and κ is the curvature of the top of the asperity. The pressure between wafer and pad due to all pad asperities in contact with the wafer is then: E pxt, z z d x, 3 4η 1 ( )= ( ( t) ) exp dz, (4) 3 κ 1 ν d( x) σ σ where η is the asperity density. After a change of variables, the integral can be readily calculated leading to the following expression for the pressure distribution: 3 πσ η E w x, t T x, t pxt (, )= exp for w T 0. (5) κ 1 ν σ If the deformation of the pad w(x, t) is known, the pressure distribution between wafer and pad can be calculated directly from Eq. (5). We now derive an expression for the pad deformation w(x, t) as a result of the pressure distribution p(x, t). The plane-strain deformation, w(x, t), of a half space under a periodic pressure distribution is given by the following expression 9, 10 : L/ ( ) ( ) ( ) dw 1 ( ν ) π x s = pst (, ) cot ds. (6) dx LE L/ L After integrating Eq. (7), we find the following expression for w(x, t): L/ ( ) 1 ( ν ) π x s wxt (, ) Ct ( ) = pst (, ) ln sin ds. (7) πe L/ L Equations (5) and (7) form a set of two equations that describe the pressure distribution between polishing pad and wafer, and the ensuing pad deformation. It should be noted that Eq. (7) gives the deformation of the pad at a given time t only to within a constant C(t), which is typical for plane-strain contact problems. The value of C can be determined from the requirement that the pressure distribution integrated over one period be equal to the applied load. Once the pressure distribution is known, the local removal or wear rate, R, can be calculated using Preston s equation: Txt R= k x v x p x = (, ) ( ) ( ) ( ), (8) t M4.6.3

where k(x) is the wear coefficient and v(x) is the velocity of the wafer relative to the pad. If the wafer surface consists of different materials, the wear coefficient is a function of position. Integration of Preston s equation over time taking into account the initial surface topography yields the evolution of the surface profile T(x, t) as a function of time. Note that Preston s law assumes that the removal rate increases linearly with pressure, but any wear law in which the removal rate increases monotonously with pressure can be used instead. Qualitatively, the results should remain the same. It is interesting to consider the behavior of this model for limiting values of the parameter σ. If the pad is very rough compared to the relief on the wafer, i.e., σ is much larger than w T, then the pressure profile is nearly constant and the removal rate only depends on the local value of the wear coefficient k(x) in Preston s law. If, on the other hand, the pad surface is very smooth, σ approaches zero. In that case, Eq. (5) shows that the pressure is finite when there is no gap between wafer and polishing pad, and zero otherwise. Any changes in surface relief of the wafer can then be attributed to compression of the pad in addition to wear coefficient variations, as proposed in the model by Chekina 6. Equations (5) and (7) have to be solved numerically for the pressure distribution and the corresponding pad deflection. This can be done iteratively by assuming an initial pressure distribution and using Eq. (5) to calculate the corresponding pad deflection. Equation (7) is then used to calculate an updated pressure distribution. This procedure is repeated until convergence is obtained. The integral in Eq. (7) has to be evaluated numerically and special care needs to be taken in the neighborhood of singular values of the integrand. Given the contact pressure distribution, the surface profile is determined through integration of Eq. (8) using the forward Euler method. RESULTS AND DISCUSSION Selected numerical results are shown in Figs. through 7. Figures and 3 depict the evolution of the surface profile and contact pressure for a metal line embedded in a dielectric matrix as a function of polish time. The nominal polish rate was taken to be 3000 /min with a selectivity for the dielectric of 30%. Figure clearly shows that the recess or dishing of the metal line increases with increasing polish time. At the same time, the corners of the dielectric get more rounded. This is a direct result of the contact pressure distributions shown in Fig. 3. The pressure profile starts out constant at 15 kpa, but quickly changes to form maxima near the edges of the dielectric. These pressure peaks cause the dielectric to be eroded more quickly and rounded corners are formed. Eventually a steady state is approached in which the removal rates of the metal line and the surrounding dielectric are the same. At this point, the pressure distribution within individual metal and dielectric areas is constant and the ratio of the pressure over the metal to that over the dielectric is given by the selectivity. Figure 4 shows the effect of the plane-strain elastic modulus of the pad on the surface profile of the wafer. A more compliant pad clearly results in more dishing since the pad deflects more easily into recessed areas on the M4.6.4

Profile (µm ) 0.000 t = 1. s -0.005 t = 3.6 s -0.010 t = 6.0 s -0.015 t = 9.6 s -0.00 P -0.05-0.030 0 0. 0.4 0.6 0.8 1 Normalized distance (x/l) Pressure (kpa) 5 0 15 10 t = 1. s t = 3.6 s t = 9.6 s t = 4 s Sigma: 0.005 µm 5 Line width: 0 µm Line spacing: 80 µm Pad stiffness: 45 MPa 0 0 0. 0.4 0.6 0.8 1 Normalized distance (x/l) Surface profile (µm ) Fig.. Evolution of wafer surface. -0.0-0.03-0.04-0.05 E p = 0 MPa E p = 45 MPa -0.06 Sigma: 0.01 µm -0.07 Line width: 0 µm Line spacing: 80 µm -0.08 0 0. 0.4 0.6 0.8 1 Normalized distance (x/l) Fig. 4. Effect of pad stiffness on surface profile. Fig. 3. Evolution of pressure distribution. Dishing (µm ) 0.1 0.10 0.08 0.06 0.04 0.0 Line width: 0 µm Line spacing: 80 µm Pad stiffness: 45 MPa 0.00 0 0.0 0.04 0.06 0.08 0.1 0.1 0.14 0.16 Sigma (µm ) t = 60 s t = 36 s t = 1 s Fig. 5. Effect of σ on dishing for different over-polish times. Dishing (µm ) 0.08 0.06 0.04 0.0 0.00 Pattern density: 0% Sigma: 0.01 µm Pad stiffness: 45 MPa t = 60 s t = 36 s t = 1 s Erosion (µm ) 0.0 0.15 0.10 Line width: 50 µm Sigma: 0.01 µm Pad stiffness: 45 kpa 10 s 60 s 0.018 0.05 36 s 0.016 1 s 0.014 10 0 30 40 50 60 70 80 90 Line width (µm ) Fig. 6. Effect of line width on dishing for different over-polish times. 0.00 0.0 0. 0.4 0.6 0.8 1.0 Pattern Density Fig. 7. Effect of pattern density on erosion for different over-polish times. M4.6.5

wafer. Figure 5 shows that dishing of metal lines also increases with increasing values of the roughness parameter σ. One can easily show from Eqs. (5) and (8) that the amount of dishing in the steady state increases linearly with σ. The curves in Fig. (5) indeed tend to a straight line with increasing polish time, but the steady state is clearly not yet attained for larger values of σ. Other roughness parameters such as asperity density and curvature do not have any impact on wafer surface topography. The effect of line width on dishing is shown in Fig. 6. According to the model, dishing of metal lines increases linearly with line width in agreement with experimental results 11. It should be noted, however, that the model is valid only for features wide enough that pad asperities can enter the recessed areas. This explains the finite amount of dishing in the limit of zero line width. Figure 7 shows the amount of dielectric erosion as a function of pattern density. Erosion is clearly very sensitive to pattern density and increases with increasing polish time, which is also observed experimentally 11. CONCLUSIONS A new, contact-mechanics based model for chemical-mechanical polishing has been presented. The model takes into account the compliance of the polishing pad as well as its roughness. It allows for easy calculation of the evolution of the wafer surface topography during the polishing process. The trends for dielectric erosion and metal dishing predicted by the model agree well with experimental observations reported in the literature, but more detailed experimental verification will need to be performed by means of well-controlled experiments. REFERENCES 1 J. Warnock, J. Electrochem. Soc. 138, 398-40, 1991. S. Sundararajan, et al., J. Electrochem. Soc. 146, 761-66, 1999. 3 D. G. Thakurta, et al., Thin Solid Films 366, 181-90, 000. 4 S. R. Runnels, J. Electrochem. Soc. 141, 1900-04, 1994. 5 D. Boning, et al., in "Chemical-Mechanical Polishing-Fundamentals and Challenges", Proc. Mat. Res. Soc. 566, San Francisco, 197-09, 1999. 6 O. G. Chekina, et al., J. Electrochem. Soc. 145, 100-06, 1998. 7 T.-K. Yu, et al., Proc. of the 1993 International Electron Devices Meeting, 35.4.1-4, 1994. 8 J. A. Greenwood and J. H. Tripp, J. Appl. Mech. 34, 153-59, 1967. 9 K. L. Johnson, Contact mechanics, Cambridge: Cambridge University Press, 1985. 10 I. S. Gradshtein and I. M. Ryzhik, Tables of Integrals, Series, and Products, New York and London: Academic Press, 1994. 11 J. M. Steigerwald, S. P. Murarka, and R. J. Gutmann, Chemical Mechanical Planarization of Microelectronic Materials, New York: John Wiley & Sons, Inc, 1997 M4.6.6