Advances in Step and Flash Imprint Lithography

Similar documents
Vinyl ethers in ultraviolet curable formulations for step and flash imprint lithography

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

Step and flash imprint lithography: Template surface treatment and defect analysis

Simulation of fluid flow in the step and flash imprint lithography process

At a Glance. If contact and imprint lithography techniques are going to supplant more established optical methods,

Characterization and modeling of volumetric and mechanical properties for step and flash imprint lithography photopolymers

NanoImprint Materials. March, IBM Almaden Research Center

Nanoimprint Lithography

Introduction. Photoresist : Type: Structure:

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

5. Photochemistry of polymers

Simulation and characterization of surface and line edge roughness in photoresists before and after etching

Step and Flash Imprint Lithography: A Technology Review

Thin Wafer Handling Challenges and Emerging Solutions

Nanostructures Fabrication Methods

MICRO AND NANOPROCESSING TECHNOLOGIES

Polymeric microelement on the top of the fiber formation and optical loss in this element analysis

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

High fidelity blazed grating replication using nanoimprint lithography

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Formation and Surface Modification of Nanopatterned Thiol-ene Substrates using

A New Approach to High-Resolution Patterning

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Characterization of Optical Proximity Correction Features

Photoinitiation, Photopolymerization, and Photocuring

Lecture 14 Advanced Photolithography

Supplementary Figures

Synergistic Effect of Hydroxyl-containing Acrylates in Epoxide-Acrylate Hybrid Photopolymerizations Abstract Introduction Experimental Materials

Mechanistic Kinetic Modeling of Thiol Michael Addition Photopolymerizations via Photocaged Superbase Generators: An Analytical Approach

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures

Lithography. The Crystal Growth and Reticle Degradation Exposé. Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Direct Evaluation Method of UV Curing Process on the Basis of Conductivity Change of Photopolymerization Materials

Study of Deprotection Reaction during Exposure in Chemically Amplified Resists for Lithography Simulation

Study of Block Copolymer Lithography using SCFT: New Patterns and Methodology

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center

Three Approaches for Nanopatterning

We published the text from the next page.

High Optical Density Photomasks For Large Exposure Applications

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

International Journal of Modern Trends in Engineering and Research e-issn No.: , Date: April, 2016

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists

Mat. Res. Soc. Symp. Proc. Vol Materials Research Society

Thiol-Enes: Fast Curing Systems with Exceptional Properties. Performance Materials, USA. Chemistry and Biochemistry, USA

Electron Beam Curable Varnishes. Rapid Processing of Planarization Layers on Polymer Webs

Lightly cured. Seite/Page: 22

PHOTOPOLYMERIZATION REACTION RATES BY REFLECTANCE REAL TIME INFRARED SPECTROSCOPY: APPLICATION TO STEREOLITHOGRAPHY RESINS

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks

Macromers and Monofunctional Silicones

Nanosphere Lithography

Formulating for Reactivity

Improvement of the diffraction properties in holographic polymer dispersed liquid crystal bragg gratings q

Top down and bottom up fabrication

Lecture 8. Photoresists and Non-optical Lithography

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

1

Introduction to the Basics of UV/EB Chemistry and Formulations

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Photopolymerization of Thermoplastic Polyurethane/Acrylate Blends

Techniken der Oberflächenphysik (Techniques of Surface Physics)

Curing Properties of Cycloaliphatic Epoxy Derivatives

Nanotechnology Fabrication Methods.

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

Polymer Chemistry Prof. Dibakar Dhara Department of Chemistry Indian Institute of Technology, Kharagpur

Physical and Mechanical Properties of Polymers

SILICON DIOXIDE TO POLYSILICON SELECTIVITY OF A C2F6/CHF3 DRY ETCH PROCESS

Sensors and Metrology. Outline

Overview of the main nano-lithography techniques

LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING

Thermal and UV-curing Behavior of Inks, Adhesives, and Coatings by Photo-, In-situ DEA and DMA.

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas

Section 3: Etching. Jaeger Chapter 2 Reader

MODELING EFFECTS OF OXYGEN INHIBITION IN MASK BASED STEREOLITHOGRAPHY

Photolithography II ( Part 1 )

Copyright. Anh Quoc Nguyen

OXYGEN INHIBITION AND COATING THICKNESS EFFECTS ON UV RADIATION CURING OF WEATHERFAST CLEARCOATS STUDIED BY PHOTO-DSC

JOHN G. EKERDT RESEARCH FOCUS

ETCHING Chapter 10. Mask. Photoresist

DUV Positive Photoresists

Etching: Basic Terminology

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly

Structure-Property Investigation of Functional Resins for UV-Curable Gaskets

CARBON NANOTUBE-POLYMER COMPOSITES: AN OVERVIEW Brian Grady University of Oklahoma

Nanoscale IR spectroscopy of organic contaminants

Resist material for negative tone development process

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

CURE DEPENDENT CREEP COMPLIANCE OF AN EPOXY RESIN

Polymer Matrix Effects on EUV Acid Generation

A COMPUTER MODEL FOR LASER PHOTOPOLYMERIZAnON. LAWRANCE FLACH Department of Chemical and Materials Engineering. and

UV-POLYMERIZATION IN THE PRESENCE OF NANOFILLERS CHAP Introduction

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas

Real-time UV cure monitoring

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Multiple Photoinitiators for Improved Performance Timothy E. Bishop DSM Desotech, Inc. Elgin, IL USA

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

Feature-level Compensation & Control

Fabrication at the nanoscale for nanophotonics

The Effect of Electrostatic Surface Charges on Photoresist Dissolution

Transcription:

Advances in Step and Flash Imprint Lithography S.C. Johnson, T.C. Bailey, M.D. Dickey, B.J. Smith, E.K. Kim, A.T. Jamieson, N.A. Stacey, J.G. Ekerdt, C.G. Willson Texas Materials Institute University of Texas at Austin, Austin, TX 78712 willson@che.utexas.edu D.P. Mancini, W.J. Dauksher, K.J. Nordquist, D.J. Resnick Motorola Labs, Tempe, AZ 85284 doug.resnick@motorola.com Abstract Recent work on Step and Flash Imprint Lithography (SFIL) has been focused on process and materials fundamentals and demonstration of resolution capability. Etch transfer processes have been developed that are capable of transferring imprinted images though 150 nm of residual etch barrier, yielding sub 50 nm lines with aspect ratios greater than 8:1. A model has been developed for the photoinitiated, free radical curing of the acrylate etch barrier materials that have been used in the SFIL process. This model includes the effects of oxygen transport on the kinetics of the reaction and yields a deeper understanding of the importance of oxygen inhibition, and the resulting impact of that process on throughput and defect generation. This understanding has motivated investigation of etch barrier materials such as vinyl ethers that are cured by a cationic mechanism, which does not exhibit these same effects. Initial work on statistical defect analysis has is reported and it does not reveal pathological trends. 1. Introduction As economic forces drive the semiconductor industry towards increasingly smaller feature sizes, technical challenges have lead to an exponential growth in equipment cost of ownership. As the costs have risen, interest in imprint lithography and its promise of low cost pattern transfer has grown. By avoiding the use of expensive light sources and projection optics, tools for imprint lithography realize a reduction in cost, and this offers the promise of dramatically reduced cost of ownership for the lithography tools and therefore the manufacturing plant as a whole. 1 A number of research groups have pursued alternative imprint lithography schemes. 2-4 Step and Flash Imprint Lithography (SFIL), as depicted in Figure 1, utilizes transparent templates and UV curable materials to allow pattern replication at room temperature and low pressures. These process conditions facilitate improved template-substrate alignment as well as minimized magnification and distortion errors. Previous work has demonstrated the resolution capability of the SFIL process as well as some qualitative defect analysis. To merit consideration as a potential next generation etch barrier transfer layer Imprint Expose Separate Breakthrough Etch Transfer Etch template residual etch barrier Figure 1. The SFIL process flow. release layer lithography (NGL), any imprint technology must address a set of key technical issues. These include, but are not limited to, the ability to produce high aspect ratio patterns with wide process latitude, high throughput, and controlled defect densities. Emerging Lithographic Technologies VII, Roxann L. Engelstad, Editor, Proceedings of SPIE Vol. 5037 (2003) 2003 SPIE 0277-786X/03/$15.00 197

2. Etch Process Once low aspect ratio patterns have been printed in the etch barrier, they must be transferred through the underlying transfer layer. This is performed in two steps. The first, referred to as the break-through etch, anisotropically removes residual etch barrier to break through to the underlying transfer later. The second step, the transfer etch, uses the remaining etch barrier pattern as an etch mask to transfer the pattern into the underlying transfer layer. The silicon in the etch barrier, and lack of silicon in the transfer layer, provides the needed etch selectivity between the barrier and the transfer layer. An O 2 transfer etch that was developed for use in top surface imaging processes was selected as a baseline process for the SFIL break-through etch. This original etch process uses high bias power, high etch gas flow rates, cold chuck temperatures, and low chamber pressures to transfer patterns from a silylated etch mask to an underlying silicon-free layer with a high degree of anisotropy. To adapt this process for use as the SFIL breakthrough etch, CF 4 was added to the etch gas mixture. Addition of fluorine facilitates removal of silicon and increases the etch rate of SFIL etch barrier. Once the breakthrough etch was complete and any residual etch barrier was removed, the O 2 etch process would then be used to transfer the pattern to the underlying transfer layer. A set of wafers was processed with the above conditions to determine etch rates and selectivites as a function of etch gas fluorine content. After establishing baseline etch rates with the pure oxygen process, CF 4 was added to the etch gas mixture, and O 2 flow rates were reduced to maintain constant total gas flows throughout the sample set. Samples were first coated with DUV30J-11 ARC (Brewer Science). Etch barrier formulation A4, which contained 44% (w/w) SIA 0210.0 (Gelest), 15% ethylene glycol diacrylate (Aldrich), 4% Darocur 1173 (Ciba), and 37% t-butyl acrylate (Aldrich) was dispensed onto the wafer immediately before imprinting. A high resolution template supplied by Motorola Labs was then used to imprint with 6 lbf imprint force, and the template/wafer stack was illuminated with a 500 W Hg arc lamp (Oriel) operating at 350 W for 60 sec exposure. All samples were etched on a LAM Research 9400SE. SEM images were acquired using a Hitachi 4500 SEM operating at 5 kv. All samples were coated with a thin Au:Pd film to dissipate charging. Figure 2 presents etch rates for etch barrier and transfer layer materials as a function of etch gas fluorine content. Using O 2 at the sole etch gas, the transfer layer etches seven times faster than the etch barrier. Conversely, when using 67% CF 4, the etch barrier etches six times faster than the transfer layer. Based on this data, it was decided to use the 67% CF 4 recipe for the breakthrough etch and pure O 2 for the transfer etch. Figures 3 (tilt view) and 4 (crosssection view) show SEM images of samples as imprinted, after the break-through etch, and after the transfer etch. Inspection of the asimprinted sample images shows low aspect ratio features on top of approximately 150 nm of residual etch barrier, a far thicker layer Etch Rate (nm/sec) 12 10 8 6 4 2 0 Etch Barrier (Si,C,H,O) Transfer Layer (C,H,O) 0 10 20 30 40 50 60 70 80 Percent CF 4 in O 2 Figure 2. Etch Barrier and Transfer Layer Etch Rates. than that used in practice. Images after the breakthrough etch show no remaining residual etch barrier layer. Final images after the transfer etch show high aspect ratio polymer features on the silicon substrate. a) b) c) Figure 3. FESEM of a) imprinted samples b) after breakthrough etch c) after transfer etch. 198 Proc. of SPIE Vol. 5037

a) b) c) Figure 4. Cross sections of a) imprinted samplesb) after breakthrough etch c) after transfer etch 3. Acrylate Polymerization Kinetics Model. The current etch barrier is acrylate-based and cures via a free radical polymerization process. Oxygen inhibits freeradical polymerization by scavenging free-radicals, and thus disrupts the curing process. Oxygen dissolved in the etch barrier results in an inhibition period during cure, as shown in Figure 5. This manifests itself as a delay between lamp-on (time = 0) Percent Monomer 100 80 60 40 20 0 Figure 5. Inhibition period during etch barrier polymerization. and the beginning of monomer loss. This delay ultimately lowers process throughput. Furthermore, oxygen from the surrounding environment continually diffuses into the etch barrier around the perimeter of the template. As a result, a layer of uncured etch barrier persists at the edges of the template after exposure. This partially cured material has the potential to stick to the template and generate defects in subsequent imprints. These process limitations motivated further investigation and modeling of the free radical polymerization of acrylate etch barriers. Standard free radical polymerization kinetics were assumed in order to make a first-order approximation of the effects of oxygen. 5 This model incorporates four reaction steps: radical initiation, propagation, termination, and quenching. The rate of initiation involves an initiating species absorbing light and dissociating into two radicals. The rate of initiation was estimated based on the absorbance of Darocur 1173 convoluted with the spectrum of the Hg lamp and a quantum efficiency taken from literature. Radicals that are generated are assumed to be immediately quenched in the presence of oxygen. Once the oxygen is depleted, however, the radicals react with monomer to form a growing polymer chain. The polymer chain continues to propagate until it encounters the radical end of another chain, at which point the two radical ends terminate by either combination or disproportionation. The rate constants for these reactions were estimated based on literature values for acrylates. 6 Figure 6 presents monomer concentration as functions of exposure time and radial distance from the center of the template. In this calculation, oxygen was assumed to be the only diffusing species, with an estimated diffusion coefficient of 5x10-6 cm 2 /s and an initial concentration of 1x10-3 mol/l. For a light intensity of 43 mw/cm 2 and quantum efficiency of 0.6, it was found that the inhibition time was 300 msec. As expected, no polymerization takes place until the oxygen in the bulk has been depleted. In addition, oxygen diffusion results in an uncured layer of approximately 10 µm in thickness around the etch barrier perimeter. These model results agree with experimental observation. 4. Vinyl Ethers 0.41m W 1.34m W 16 m W 73.5m W 0 5 10 15 20 25 30 35 40 45 50 Tim e (sec ) Alternative polymerization chemistries were considered in order to circumvent the inhibition period and uncured edge Figure 6. Monomer concentration profile. Proc. of SPIE Vol. 5037 199

phenomena seen with the radical initiated acrylate process. Both anionic and cationic polymerization mechanisms were considered, with the anionic route quickly being passed over due to its sensitivity to water and other contaminants. Although epoxies represent a well known and industrially developed class of materials, examination of materials properties showed that the curing kinetics of these systems did not meet process throughput requirements. Furthermore, the viscosity of even the smallest epoxy molecules was relatively high compared with corresponsing acrylate systems, which would lead to undesired consequences. As a result of these considerations, attention was focused on vinyl ether polymerization. Vinyl ethers are known to react extremely rapidly under cationic conditions. Additionally, the vinyl ether functionality makes a relatively low group contribution to viscosity compared with other groups such as acrylates. This effect can be exemplified by comparing the viscosity of ethylene glycol diacrylate with its divinyl ether analogue as shown in Table 1, where in this case the bis-vinyl ether viscosity is almost 1/5 th that of the corresponding diacrylate. Table 1. Viscosities of Vinyl Ether and Acrylate Structures. Structure R=Vinyl Ether R=Acrylate RO OR 0.7 cp 3.5 cp Unfortunately, silylated vinyl ethers suitable for use in an etch barrier are not commercially available. Thus, materials were synthesized in our laboratory in order to perform evaluations. These materials are shown in Table 2, along with their measured viscosity at 20 C. Table 2. Silylated Vinyl Ether Etch Barrier Components. Structure Viscosity O Si O ------ O O Si Si O 1.0 cp 1.4 cp These silicon containing monomers were then formulated with mono-functional, non-silicon containing vinyl ethers and commercially available photo-acid generators (PAGs) to create a series of formulations that were used for SFIL imprinting. Figure 7 illustrates a typical cross-section from imprinting, showing 60 nm lines. It is anticipated that the resolution of these materials is equivalent to that of the acrylates. 5. Defect Analysis Figure 7. Imprinted Vinyl Ether Etch Barrier. The authors have undertaken an effort to quantify defect levels as a function of repeated imprints. Early results were very encouraging, showing no catastrophic generation or propagation of defects. Additionally, it has been observed that the 200 Proc. of SPIE Vol. 5037

handling of the template during installation in the current operating procedure can impart some contamination on the template, but imprinting actually cleans away that contamination. 7,8 This effect has also been seen by Harai 9 and Bender. 10 The ultimate goal of this defect analysis is to understand the effect of repeated imprints on the generation and propagation of defects over time. To this end, we have begun to inspect imprinted wafers on a KLA-Tencor 2139 wafer inspection tool in collaboration with KLA-Tencor Corporation. Initial inspection of 96 consecutive imprints shows relatively high levels of detected defects, but no significant upward trend in defects over time, as shown in Figure 8a. The data are somewhat noisy, and future work includes imprinting and inspecting a larger set of wafers in order to isolate any trends in the data set. Statistical analysis of these data has been performed. Assuming a straight line model and beginning with the first imprint, the evolution of the line slope as more and more imprints are added to the data set reveals a net positive slope for defects added per imprint, up to about 65 imprints, and the 95% confidence intervals do not capture zero, as seen in Figure 8b. This indicates that the slope for the data set should be positive in that region. As the size of the data set increases, there is a change in the data that shifts the slope and its confidence downward to capture zero. This is an interesting observation, and likely indicates that a straight line model is not the best model to fit this data. It is also likely that a larger data set is needed to sufficiently model the effect of repeated imprints on defect generation and propagation. 6. Summary a) b) Figure 8. a) Initial results of SFIL wafer. b) slope and 95% confidence levels. Recent process and materials work in SFIL has demonstrated anisotropic etch transfer through 150 nm residual etch barrier and the underlying transfer layer, yielding features as small as 40 nm that possess aspect ratios greater than 8:1. A model to improve understanding of acrylate etch barrier curing kinetics has been developed. The potential for reducing throughput and an uncured edge region due to oxygen inhibition has motivated exploration of cationic etch barrier materials such as vinyl ethers. These new materials possess lower viscosities than their acrylate counterparts, and appear to provide similar imprinting performance. In addition, initial statistical defect analysis shows no pathological increase in defect levels through 96 consecutive imprints. Acknowledgements This work was partially funded by DARPA (N66001-98-1-8914), DARPA (N66001-01-1-8964), and the National Science Foundation. The authors wish to thank International Sematech for materials support and access to etching equipment, Brewer Science for supplying materials, and KLA-Tencor for access to defect inspection equipment. We thank Daniel Miller, David Stark, Michael Rich, David Adler, David Soltz, and Ernesto Pon for their help and support. 1. S.V. Sreenivasan,et al., Proc. SPIE 4688: (2002). 2. M. Colburn, et al., Proc. SPIE 3676(I): 379 (1999). References 3. Chou, S.Y., Krauss, P.R., Renstrom, P.J. J. Vac. Sci. Technol. B 1996, 14(6) 4129-33. Proc. of SPIE Vol. 5037 201

4. Widden, et al., Nanotechnology 1996, 7, 447-451. 5. Odian, G. Principles of Polymerization. Wiley & Sons, New York, 1991. 6. Decker, C, Jenkins, A. Macromolecules (1985), 18, 1241-1244. 7. Bailey, T., et al., J. Vac. Sci. Tech. B, 2000. 18(6): p. 3572. 8. Bailey, T., et al., J. Vac. Sci. Tech. B, 2001. 19(6): p. 2806. 9. Hirai, Y., et al., J. Photopolym. Sci. Tech., 2001. 14(3): p. 457. 10. Bender, M., et al., Microelectronic Engineering, 2002. 61-62: p. 407. 202 Proc. of SPIE Vol. 5037