Chapter 9, Etch. Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm

Similar documents
Device Fabrication: Etch

Chapter 7 Plasma Basic

Chapter 7. Plasma Basics

ETCHING Chapter 10. Mask. Photoresist

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

Section 3: Etching. Jaeger Chapter 2 Reader

Etching: Basic Terminology

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

CVD: General considerations.

CHAPTER 6: Etching. Chapter 6 1

Reactive Ion Etching (RIE)

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

Chapter 8 Ion Implantation

Chapter 3 Basics Semiconductor Devices and Processing

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

Wet and Dry Etching. Theory

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

Plasma Deposition (Overview) Lecture 1

Chapter 8 Ion Implantation

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Introduction to Plasma

Plasma etching. Bibliography

Thin Film Deposition. Reading Assignments: Plummer, Chap 9.1~9.4

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

LECTURE 5 SUMMARY OF KEY IDEAS

Lithography and Etching

Regents of the University of California

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Fabrication Technology, Part I

Film Deposition Part 1

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition

EE C245 ME C218 Introduction to MEMS Design Fall 2007

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

MICROCHIP MANUFACTURING by S. Wolf

Etching Capabilities at Harvard CNS. March 2008

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages:

EE-612: Lecture 22: CMOS Process Steps

EE C245 ME C218 Introduction to MEMS Design Fall 2007

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

IC Fabrication Technology

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)

Lecture 0: Introduction

課程名稱 : 微製造技術 Microfabrication Technology. 授課教師 : 王東安 Lecture 6 Etching

Introduction to Photolithography

Semiconductor Technology

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6

Technology for Micro- and Nanostructures Micro- and Nanotechnology

Dynamization evolution of Dry Etch Tools in Semiconductor Device Fabrication Gordon Cameron Intel Corp (November 2005)

Competitive Advantages of Ontos7 Atmospheric Plasma

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013

EE C245 ME C218 Introduction to MEMS Design Fall 2010

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials

Ion Implant Part 1. Saroj Kumar Patra, TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU )

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

EE C245 ME C218 Introduction to MEMS Design Fall 2007

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

Plasma etch control by means of physical plasma parameter measurement with HERCULES Sematech AEC/APC Symposium X

Clean-Room microfabrication techniques. Francesco Rizzi Italian Institute of Technology

NNCI ETCH WORKSHOP - STANFORD NNCI PLASMA ETCH OVERVIEW. Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 24, 2016

Chapter 3 Engineering Science for Microsystems Design and Fabrication

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases

EE C245 ME C218 Introduction to MEMS Design

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

Chapter 2 FABRICATION PROCEDURE AND TESTING SETUP. Our group has been working on the III-V epitaxy light emitting materials which could be

ELEC 7364 Lecture Notes Summer Etching. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA

Repetition: Practical Aspects

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher

Thin Film Transistors (TFT)

Etching. Etching Terminology. Etching Considerations for ICs. Wet Etching. Reactive Ion Etching (plasma etching) Professor N Cheung, U.C.

Lecture 10. Vacuum Technology and Plasmas Reading: Chapter 10. ECE Dr. Alan Doolittle

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas

6.5 Optical-Coating-Deposition Technologies

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing

Plasma Technology September 15, 2005 A UC Discovery Project

Taurus-Topography. Topography Modeling for IC Technology

DQN Positive Photoresist

Ion Implantation ECE723

DO NOT WRITE YOUR NAME OR KAUST ID NUMBER ON THIS PAGE OR ANY OTHER PAGE PUT YOUR EXAM ID NUMBER ON THIS PAGE AND EVERY OTHER PAGE YOU SUBMIT

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur

Plasma atomic layer etching using conventional plasma equipment

FRAUNHOFER IISB STRUCTURE SIMULATION

Changing the Dopant Concentration. Diffusion Doping Ion Implantation

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey

PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING

Patterning Challenges and Opportunities: Etch and Film

Photoresist Profile. Undercut: negative slope, common for negative resist; oxygen diffusion prohibits cross-linking; good for lift-off.

EE143 LAB. Professor N Cheung, U.C. Berkeley

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4

Transcription:

Chapter 9, Etch Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1

Objectives Upon finishing this course, you should able to: Familiar with etch terminology Compare wet and dry etch processes List four materials need to be etched during IC processing and list the main dry etch etchants Describe etch process in IC fabrication Become aware of hazards in etch processes Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 2

Outline Introduction Terminology Wet and dry etch Plasma basics Plasma etch processes Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 3

Definition of Etch Process that removes material from surface Chemical, physical or combination of the two Selective or blanket etch Selective etch transfers IC design image on the photoresist to the surface layer on wafer Other applications: Mask making, Printed electronic board, Artwork, etc. Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 4

Gate Mask Alignment Gate Mask STI Photoresist Polysilicon P-Well USG Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 5

Gate Mask Exposure Gate Mask STI Photoresist Polysilicon P-Well USG Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 6

Development/Hard Bake/Inspection STI PR Polysilicon P-Well USG Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 7

Etch Polysilicon Polysilicon PR STI USG P-Well Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 8

Etch Polysilicon, Continue Gate Oxide Polysilicon PR STI USG P-Well Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 9

Strip Photoresist Gate Oxide Polysilicon STI USG P-Well Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 10

Ion Implantation Gate Oxide Dopant Ions, As+ Polysilicon STI n + n + USG P-Well Source/Drain Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 11

Rapid Thermal Annealing Gate Oxide Polysilicon Gate STI n + n + USG P-Well Source/Drain Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 12

Wafer Process Flow Materials IC Fab Metallization CMP Dielectric deposition Test Wafers Masks Thermal Processes Implant PR strip Etch PR strip Packaging Photolithography Final Test Design Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 13

Applications of Etch IC Fabrication Mask making Printed electronic board Art work Nameplate Glassware Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 14

Wet Etch Profiles 7-8 µm Photoresist Film 3µm Substrate Etch Bias 3 µm PR Film Substrate Can t be used for feature size is smaller than 3 µm Replaced by plasma etch for all patterned etch Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 15

CMOS Cross-Section Passivation 2 Passivation 1 M2 Nitride Oxide Al Cu W ILD-2, USG Metal 1, Al Cu ILD-1, BPSG W-Plug USG n + n + STI p + p + P-Well N-Well P-Epi P-Wafer n -, LDD Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 16

Etch Terminology Etch rate Selectivity Etch uniformity Etch profile Wet etch Dry etch RIE Endpoint Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 17

Etch Rate Etch rate measures of the how fast the material is removed from wafer surface. d 0 Before etch Etch Rate = d t d After etch (Å/min) d 1 d = d 0 - d 1 (Å) is thickness change and t is etch time (min) Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 18

Etch Rate Etch rate = thickness change after etch etch time PE-TEOS PSG film, 1 minute in 6:1 BOE at 22 C, Before etch, t = 1.7 µm, After wet etch, t = 1.1 µm ER = 17000-11000 ----------------- 1 = 6000 Å/min Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 19

Etch Uniformity Etch uniformity is a measure of the process repeatability within the wafer (WIW) and wafer to wafer (WTW) Thickness measurements are made before and after etch at different points More measure points, higher the accuracy Standard deviation definition are normally used Different definitions give different results Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 20

Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 21 Standard Deviation Non-uniformity N x x x x x N + + + + = 3 2 1 1 ) ( ) ( ) ( ) ( 2 2 3 2 2 2 1 + + + + = N x x x x x x x x N σ N points measurements

Max-Min Uniformity Etch non-uniformity (NU) can be calculated by using following equation (called Max-Min uniformity, good for classroom exercise): NU(%) = (E max - E min )/ 2E ave E max = Maximum etch rate measured E min = Minimum etch rate measured E ave = Average etch rate Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 22

Selectivity Selectivity is the ratio of etch rates of different materials. Very important in patterned etch Selectivity to underneath layer and to photoresist S = E 1 E 2 Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 23

Selectivity Selectivity of BPSG to Poly-Si: S = E 1 E 2 E 2 PR BPSG Gate SiO 2 Poly-Si Si E 1 Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 24

Selectivity Selectivity = Etch rate 1 Etch rate 2 Etch rate for PE-TEOS PSG film is 6000 Å/min, etch rate for silicon is 30 Å/min, PSG to silicon Selectivity = 6000 ----------------- 30 = 200: 1 Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 25

Etch Profiles PR Film Substrate Anisotropic PR Film PR Film Substrate Isotropic PR PR Film Substrate PR Film PR Film Substrate PR Anisotropic, tapered Anisotropic, Undercut Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 26

Etch Profiles PR Film Substrate PR Film PR Film Substrate PR Anisotropic, Foot Undercut, reversed foot PR Film Substrate PR Film Undercut, reversed tapered PR Film Substrate Undercut, I-beam Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 27

Loading Effects: Macro Loading ER of a wafer with a larger open area is different from the wafer with a smaller open area Mainly affects the batch etch process, Has a minimal effect on the single wafer process Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 28

Loading Effects: Micro Loading Smaller hole has a lower etch rate than the larger holes Etchants are more difficult to pass through the smaller hole Etch byproducts are harder to diffuse out Lower pressure can minimize the effect. Longer MFP, easier for etchants reaching the film and for etch byproducts to get out Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 29

Micro Loading PR PR Film Substrate Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 30

Profile Micro Loading Ion scattering removes the sidewall PR Caused by PR sidewall deposition PR Substrate Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 31

Over Etch Film thickness and etch rate is not uniform Over etch: removes the leftover film Selectivity of etched film and substrate RIE uses optical endpoint to switch from main etch to over etch Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 32

Start Etch Process PR Film d Substrate Start main etch Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 33

Main Etch Endpoint PR Endpoint signal out Film d Substrate Before over etch Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 34

After Overetch PR Film d Substrate After over etch Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 35

Residues Unwanted leftovers Causes insufficient over etch non-volatile etch byproducts Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 36

Insufficient Over Etch Film Sidewall residue Substrate Before etch Substrate Insufficient over etch Film 2 line A Film 2 line B Film 1 Residue from film 2 Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 37

Non-volatile Residue on Surface PR Residues PR PR Film Film Film Substrate Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 38

Residues Adequate over etch Removal of non-volatile residues Sufficient ion bombardment to dislodge Right amount of chemical etch to scoop Oxygen plasma ashing: Organic residues Wet chemical clean: inorganic residues Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 39

Wet Etch Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 40

Wet Etch Chemical solution to dissolve the materials on the wafer surface The byproducts are gases, liquids or materials that are soluble in the etchant solution. Three basic steps, etch, rinse and dry Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 41

Basic Wet Etch Process Steps Etchant Sink Spin Dryer D.I. Wafer Rinse Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 42

Wet Etch Pure chemical process, isotropic profile Was widely used in IC industry when feature size was larger than 3 micron Still used in advanced IC fabs Wafer clean Blanket film strip Test wafer film strip and clean Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 43

Wet Etch Profiles 7-8 µm Photoresist Film 3µm Substrate Etch Bias 3 µm PR Film Substrate Can t be used for feature size is smaller than 3 µm Replaced by plasma etch for all patterned etch Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 44

Applications of Wet Etch Wet etch can not be used for patterned etch when CD < 3 µm High selectivity It is widely used for strip etch process, such as nitride strip and titanium strip, etc. Also widely used for CVD film quality control (buffered oxide etch or BOE) Test wafers strip, clean, and reuse Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 45

Wet Etching Silicon Dioxide Hydrofluoric Acid (HF) Solution Normally diluted in buffer solution or DI water to reduce etch rate. SiO 2 + 6HF H 2 SiF 6 + 2H 2 O Widely used for CVD film quality control BOE: Buffered oxide etch WERR: wet etch rate ratio Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 46

Wide Glass Contact Photoresist Wet etch Dry etch Oxide Metal Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 47

Wet Etching Silicon or Poly Silicon etch normally use mixture of nitric acid (HNO 3 ) and hydrofluoric acid (HF) HNO 3 oxidizes the silicon and HF removes the oxide at the same time. DI water or acetic acid can be used to dilute the etchant, and reduces the etch rate. Si + 2HNO 3 + 6HF fi H 2 SiF 6 + 2HNO 2 + 2H 2 O Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 48

Nitride Pad oxide Isolation Formation Silicon Silicon Silicon Pad oxidation, LPCVD nitride Etch nitride & pad oxide Wet etch silicon Silicon Grown SiO 2 Silicon Strip nitride, pad oxide Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 49

Wet Etching Silicon Nitride Hot (150 to 200 C) phosphoric acid H 3 PO 4 Solution High selectivity to silicon oxide Used for LOCOS and STI nitride strip Si 3 N 4 + 4 H 3 PO 4 Si 3 (PO 4 ) 4 + 4NH 3 Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 50

Wet Etching Aluminum Heated (42 to 45 C) solution One example: 80% phosphoric acid, 5% acetic acid, 5% nitric acid, and 10 % water Nitric acid oxidizes aluminum and phosphoric acid removes aluminum oxide at the same time. Acetic acid slows down the oxidation of the nitric acid. Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 51

Wet Etching Titanium 1:1 mixture of hydrogen peroxide (H 2 O 2 ) and sulfuric acid (H 2 SO 4 ) H 2 O 2 oxidizes titanium to form TiO 2 H 2 SO 4 reacts with TiO 2 and removes it simultaneously H 2 O 2 oxidizes silicon and silicide to form SiO 2 H 2 SO 4 doesn t react with SiO 2 Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 52

Self-aligned Titanium Silicide Formation Ti Ti Polysilicon gate TiSi 2 TiSi 2 Polysilicon gate TiSi 2 TiSi 2 Polysilicon gate n - Gate oxide n - n + n + n - n - n + Gate oxide n + n - n - n + Gate oxide n + Titanium deposition Silicide annealing Titanium wet striping Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 53

Factors that Affect Wet Etch Rate Temperature Chemical concentration Composition of film to be etched Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 54

Wet Chemical Hazards HF H 3 PO 3 HNO 4 Corrosive Oxidizer Special hazard Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 55

Wet Chemical Hazards HF Don t feel when contact Attack bone and neutralize by calcium Acute pain Never assume. Treat all unknown clear liquid as HF in IC fab. Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 56

Advantages of Wet Etch High selectivity Relatively inexpensive equipment Batch system, high throughput Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 57

Disadvantages of Wet Etch Isotropic Profile Can t pattern sub-3µm feature High chemical usage Chemical hazards Direct exposure to liquids Direct and indirect exposure to fumes Potential for explosion Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 58

Plasma Etch Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 59

Introduction Gas in, gas out Plasma generates free radicals and ion bombardment RIE (Reactive Ion Etch) combined chemical and physical etch Most patterned etches are RIEs Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 60

Comparison of Wet and Dry Etch Wet Etch Dry Etch Etch Bias Unacceptable for < 3µm Minimum Etch Profile Isotropic Anisotropic to isotropic, controllable Etch rate High Acceptable, controllable Selectivity High Acceptable, controllable Equipment cost Low High Throughput High (batch) Acceptable, controllable Chemical usage High Low Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 61

Plasma Basics A plasma is an ionized gas with equal numbers of positive and negative charges. Three important collisions: Ionization generates and sustains the plasma Excitation-relaxation causes plasma glow Disassociation creates reactive free radicals Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 62

Components of Plasma A plasma consists of neutral atoms or molecules, negative charges (electrons) and positive charges (ions) Quasi-neutral: n i n e Ionization rate: η n e /(n e + n n ) Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 63

Ionization Rate Ionization rate is mainly determined by electron energy in plasma In most plasma processing chambers, the ionization rate is less than 0.001%. The ionization rate of high density plasma (HDP) source is much higher, about 1%. Ionization rate in the core of sun is ~100%. Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 64

Mean Free Path (MFP) The average distance a particle can travel before colliding with another particle. λ = 1 nσ n is the density of the particle σ is the collision cross-section of the particle Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 65

Mean Free Path (MFP) Effect of pressure: λ 1 p Higher pressure, shorter MFP Lower pressure, longer MFP Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 66

Vacuum and Plasma Pressure too high, MFP will be too short Ionization usually require at least 15 ev Electrons can t get enough energy to ionize if MFP is too short Need vacuum and RF to start and maintain stabilize plasma Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 67

Ion Bombardment Anything close to plasma gets ion bombardment Very important for sputtering, RIE and PECVD Mainly determined by RF power Pressure also affects ion bombardment Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 68

Ion Bombardment Electrons are moving much faster than ions Electrons reach electrodes and chamber wall first Electrodes are charged negatively, repel electrons and attract ions Charge difference near the surface forms sheath potential Sheath potential accelerates ions towards the electrode and causes ion bombardment Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 69

Ion Bombardment Ion energy Ion density Both controlled by RF power Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 70

Applications of Ion Bombardment Help to achieve anisotropic etch profile Damaging mechanism Blocking mechanism Argon sputtering Dielectric etch for gap fill Metal deposition Help control film stress in PECVD processes Heavier bombardment, more compressive film Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 71

Ion Bombardment Control Increasing RF power, DC bias increases, ion density also increases. Both ion density and ion bombardment energy are controlled by RF power. RF power is the most important knob controlling ion bombardment Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 72

Ion Bombardment Control RF power is the main knob to control etch rate Increasing RF power, increases etch rate usually reduces selectivity RF power also used to control film stress for PECVD processes Increasing RF power increase compressive stress Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 73

Self-Bias Different size electrodes No net charge build up in plasma Charge fluxes on both electrodes are the same Smaller electrode has higher charge density Larger DC bias between plasma and smaller electrode Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 74

Etch Processes Chemical RIE Physical Blocking Mechanism Silicon Etch Poly Etch Metal Etch Damaging Mechanism Oxide Etch Nitride Etch Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 75

Chemical Etch Purely chemical reaction By products are gases or soluble in etchants High selectivity Isotropic etch profile Examples: Wet etch Dry strip Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 76

Physical Etch Bombardment with inert ions such as Ar + Physically dislodging material from surface Plasma process Anisotropic profile Low selectivity Example: Argon sputtering etch Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 77

Reactive Ion Etch (RIE) Combination of chemical and physical etch Plasma process, ion bombardment plus free radicals Misleading name, should be called ion assistant etch (IAE) High and controllable etch rate Anisotropic and controllable etch profile Good and controllable selectivity All patterned etches are RIE processes in 8 fabs Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 78

RIE Experiment Ar + ion source XeF 2 Shutoff valve Silicon sample Silicon Etch Rate (Å/min) 70 60 50 40 30 20 10 0 XeF 2 Only XeF 2 + Ar + Ar + Only Time Experiment arrangement Experiment results Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 79

Three Etch Processes Chemical Etch RIE Physical Etch Examples Wet etch, strip, RP etch Plasma patterned etches Argon sputtering Etch rate High to low High, controllable Low Selectivity Very good Reasonable, controllable Very poor Etch profile Isotropic Anisotropic, controllable Anisotropic Endpoint By time or visual Optical By time Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 80

Etch Process Sequence Plasma 1 Generation of Etchant Species Gas Flow 2 3 Diffusion to Surface Adsorption Ion Bombardment Byproducts 6 5 Diffusion into convection flow Desorption Boundary layer Sheath layer 4 Reaction Film Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 81

Etch Profile Control Damaging Blocking Oxide Nitride Epi-silicon Polysilicon Metal Anisotropic profile control can be achieved by using ion bombardment from plasma Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 82

Damaging Mechanism Heavy ion bombardment damages chemical bonds Exposed surface atoms are easier to react with etchant free radicals Ion bombardment is mainly in vertical direction Etch rate on vertical direction is much higher than on horizontal direction anisotropic etch Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 83

Damage Mechanism Exposed atom PR Ions PR Broken bonds Etched Atom or molecule Etchant free radical Etch Byproduct Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 84

Blocking Mechanism Chemicals deposit on the surface Sputtered photoresist and/or byproducts of etch chemical reaction Ion bombardment is mainly in vertical direction It prevents deposition to buildup on bottom Deposition on sidewall blocks etch process Etch process is mainly in vertical direction Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 85

Blocking Mechanism PR PR Knocked away bottom deposition Ions Sidewall deposition Etched Atom or molecule Etchant free radical Etch Byproduct Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 86

Etch Mechanisms and Their Applications Pure Reactive Ion Etch (RIE) Chemical Etch Blocking mechanism Damaging mechanism Pure Physical Etch No ion bombardment PR strip Light ion bombardment Heavy ion bombardment Only ion bombardment Single crystal silicon etch Ti strip Polysilicon etch Oxide etch Sputtering etch Nitride strip Metal etch Nitride etch Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 87

Benefits of Using Plasma For Etch Process High etch rate Anisotropic etch profile Optical endpoint Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 88

Plasma Etch Chambers Batch system Single wafer system High density plasma system IPC ECR Helicon Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 89

Batch Systems High throughput Older systems Smaller diameter, <150 mm or 6 inch Downstream etcher and barrel etch system Both are pure chemical etch, no ion bombardment Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 90

Etch Chamber Lower pressure, longer MFP, less collisions High ion energy, less ion scattering and better anisotropy etch profile Lower pressure also helps to remove the etch byproducts Etch chambers usually operate at lower pressure Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 91

Down Stream Plasma Etcher Microwave or RF Free Radicals Byproducts to Vacuum Pump Process gases Plasma Remote Plasma Chamber Etch Chamber Wafers Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 92

Barrel Etch System RF Plasma RF Etch Gas In Wafer To Pump Etch RF RF Tunnel Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 93

Batch RIE System Chamber Lid Wafers Plasma To Vacuum Pump Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 94

Schematic of an RIE System Process gases Process chamber Wafer Plasma Magnet coils By-products to the pump Chuck RF Power Helium For backside cooling Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 95

Purpose of Magnets Long MFP, insufficient ionization collisions In a magnetic field, electron is forced to spin with very small gyro-radius Electrons have to travel longer distance More chance to collide Increasing plasma density at low pressure Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 96

Effect of Magnetic Field on DC Bias Magnetic field increasing electron density in sheath layer Less charge difference in sheath region Lower DC Bias Effects on ion bombardment increasing ion density reducing ion energy Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 97

Effect of Magnetic Field on DC Bias Plasma B E e Sheath Wafer Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 98

Wafer Cooling Ion bombardment generate large amount heat High temperature can cause PR reticulation Need cool wafer to control temperature Helium backside cooling is commonly used Helium transfer heat from wafer to water cooled chuck Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 99

Clamp Ring Clamp Ring Seal O-ring Wafer Water-cooled pedestal, cathode, or chuck Helium Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 100

Electrostatic Chuck (E-chuck) Helium needs to be pressurized Wafer has high pressure at backside because low chamber pressure Need mechanisms to hold wafer Either mechanical clamp or E-chuck Clamp ring causes particles and shadowing effect E-chuck is rapidly replacing clamp ring Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 101

Electrostatic Chuck Wafer Plasma Thermal Conducting, Electrical Insulating Layer Bias Voltage Chuck Helium Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 102

Facts of Helium Name Helium Symbol He Atomic number 2 Atomic weight 4.002602 Discoverer Sir William Ramsay and independently by N. A. Langley and P. T. Cleve Discovered at London, England and Uppsala, Sweden Discovery date 1895 Origin of name From the Greek word "helios" meaning "sun". Its line radiation (a yellow line at 587.49 nm) was first detected from the solar spectrum during in solar eclipse of 1868 in India by French astronomer, Molar volume 21.0cm 3 Velocity of sound 970 m/sec Refractive index 1.000035 Melting point 0.95 K or -272.05 C Boiling point 4.22 K or -268.78 C Thermal conductivity 0.1513 W m -1 K -1 Applications Cooling gas and carrier gas in CVD and etch processes Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 103

High Density Plasma (HDP) Sources Low pressure is desired for etch process Electrons are easily lost due to long MFP by collide with electrodes or chamber wall Hard to generate plasma Parallel plate system or capacitive coupled system can not generate high density plasma Different plasma systems are needed to generate HDP at low pressure Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 104

HDP Systems Inductively coupled plasma (ICP) Electron cyclotron resonance (ECR) Helicon Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 105

ICP Inductively couple RF power to plasma Like a transformer, also called TCP Changing magnetic field cause electric field Electrons are accelerated in angular direction Could achieve high plasma density at low pressure Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 106

ICP Chamber Upper part of chamber: ceramic or quartz Source RF inductively couple with plasma Source RF generates plasma and controls ion density Bias RF controls ion bombardment energy Ion energy and density independently controlled Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 107

Schematic of ICP Chamber Process gases Source RF Process chamber Wafer Plasma RF coils Byproducts to the pump E-Chuck Bias RF Helium backside cooling Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 108

ECR In magnetic field, electron gyro-frequency Ω e (MHz) = 2.80 B (Gauss) If incident microwave frequency equals to Ω e ω MW = Ω e Resonance Electrons get energy from microwave Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 109

ECR Resonance condition won t change with fixed ω MW and B Electrons gyro-radius, ρ = v t /Ω e is very small Electron can be accelerated to high energy for ionization collision Generate high density plasma at low pressure Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 110

Illustration of ECR Electron trajectory B Microwave Power Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 111

Schematic of ECR Chamber Microwave Magnetic Coils Magnetic field line ECR Plasma Wafer E-chuck Helium Bias RF Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 112

Endpoint Each atom has its own emission wavelength Color of plasma changes when etch different materials Optical sensors can be used to detect the change and indicate the endpoint for plasma etch processes Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 113

Etch Endpoint Wavelengths Film Etchant Wavelength (Å) Emitter Al Cl 2, BCl 3 2614 AlCl 3962 Al Poly Si Cl 2 2882 Si 6156 O 3370 N2 Si 3 N 4 CF 4 /O 2 3862 CN 7037 F 6740 N 7037 F SiO2 CF4 and CHF3 4835 CO 6156 O PSG, BPSG CF 4 and CHF 3 2535 P W SF6 7037 F Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 114

Plasma Etch Processes Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 115

Advantage of the Plasma Etch High, controllable etch rate Good selectivity Anisotropic etch profile Disadvantage: expensive, complicated system Vacuum, RF, robot, E-chuck and etc. Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 116

Etch Mechanisms and Requirements Oxide etch using damaging mechanism More physical than chemical Higher RF power and lower pressure Silicon and metal etches using blocking mechanism Chemical than physical Usually require less RF power Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 117

PLASMA ETCH Etch dielectric Etch single crystal silicon Etch polysilicon Etch metal Summary Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 118

Dielectric Etch Etch oxide Doped and undoped silicate glass Contact (PSG or BPSG) Via (USG, FSG or low-κ dielectric) Etch nitride STI Bonding pad Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 119

Dielectric Etch Fluorine chemistry 4F + SiO 2 SiF 4 +2O CF 4 is commonly used as fluorine source NF 3 and SF 6 have also been used Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 120

Some Facts About Fluorine Name Fluorine Symbol F Atomic number 9 Atomic weight 18.9984032 Discoverer Henri Moissan Discovered at France Discovery date 1886 Origin of name From the Latin word "fluere" meaning "to flow" Molar volume 11.20 cm 3 Velocity of sound No data Refractive index 1.000195 Melting point 53.53 K or -219.47 C Boiling point 85.03 K or -187.97 C Thermal conductivity 0.0277 W m -1 K -1 Applications Free fluorine as the main etchant for silicon oxide and silicon nitride etching processes Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 121

Contact Etch Holes connect silicon to metal lines Doped silicate glass, PSG for BPSG Fluorine form CF 4 as the main etchant CHF 3 as polymer precursor to improve selectivity to silicon and silicide Ar to improve damaging effect Some people also use O 2 or H 2 High selectivity to Si or silicide is required Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 122

Contact Etch Etch PSG or BPSG Open contact hole for silicon to metal interconnections Need high selectivity over silicide and photoresist Fluorine chemistry F + SiO 2 SiF 4 + O gas solid gas gas Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 123

CMOS Cross-section Contact Etch Titanium/Titanium Nitride TiN ARC Al-Cu Alloy Titanium W BPSG STI n + n + p + p + P-Well P-Epi USG P-Wafer N-Well Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 124

Challenge for Contact Etch Contact holes to polyside gate and local interconnection are about half of the depth of source/drain contact holes Require high (B)PSG to silicide selectivity Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 125

Contact Etch Photoresist t STI BPSG n + t TiSi 2 Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 126

F/C ratio Contact Etch F/C > 3, etch dominant F/C < 2, polymerization When etching oxide, oxygen byproduct can react with C to free more fluorine When etching silicon or silicide, no oxygen releasing, fluorine is consumed, F/C ratio drop below 2 and start polymer deposition Polymer blocks further etch process High BPSG-to-TiSi 2 selectivity Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 127

Dielectric Etch plasma CF 4 CF 3 + F plasma 4F + SiO 2 SiF 4 + 2O plasma 12F + TiSi 2 TiF 4 + 2SiF 4 Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 128

F/C Ratio, DC Bias and Polymerization -200 C 2 F 4 C 2 F 6 CF 4 Bias (Volts) -100 Polymerization Etching 0 1 2 3 4 F/C Ratio Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 129

Via Etch Etch USG Open via hole for metal to metal interconnections Need high selectivity over metal and photoresist Fluorine chemistry Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 130

CMOS Cross-section Via Etch Metal 2 Al-Cu Alloy IMD 1 USG M1 Al-Cu Alloy W BPSG STI n + n + p + p + P-Well USG P-Epi P-Wafer N-Well Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 131

Etch Via PR mask Fluorine as the main etchant CF 4, CHF 3 and Ar are used for the etch process. O 2 or H 2 also can be used High selectivity over metal Avoiding metal sputtering Dual damascene etch Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 132

Summary of Dielectric Etch Name of etch Hard mask Contact Via Bonding pad Materials Si 3 N 4 or SiO 2 PSG or BPSG USG or FSG Nitride and oxide Etchants CF4, CHF3 CF4, CHF3,... CF4, CHF3,... CF4, CHF3,... Underneath layer Si, Cu, Au, Poly or silicide Metal Metal Endpoints CN, N or O P, O, and F O, Al and F O, Al and F Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 133

Single Crystal Silicon Etch Shallow trench isolation (STI) Deep trench for capacitor Hard mask, silicon nitride and oxide PR may cause substrate contamination Bromine chemistry HBr as the main etchant Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 134

CMOS Cross-Section Single Crystal Silicon Etch TiN ARC Al-Cu Alloy Titanium W BPSG STI n + n + p + p + P-Well USG P-Epi P-Wafer N-Well Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 135

Deep Trench Capacitor PR SiO 2 SiO 2 Heavily Doped Silicon Poly-Si SiO 2 Si Etch hard mask Si Etch silicon Si Capacitor Formation Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 136

Some Facts About Bromine Name Bromine Symbol Br Atomic number 35 Atomic weight 79.904 Discoverer Antoine-J. Balard Discovered at France Discovery date 1826 Origin of name From the Greek word "bromos" meaning "stench" Molar volume 19.78 cm 3 Velocity of sound No data Resistivity > 10 18 µω cm Refractive index 1.001132 Melting point -7.2 C Boiling point 59 C Thermal conductivity 0.12 W m -1 K -1 Applications Free bromine as the main etchant for single crystal silicon etching processes Source HBr Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 137

Single Crystal Silicon Etch Chemistry plasma HBr H + Br Br + Si SiBr 4 Small amount O 2 for sidewall passivation A little NF 3 for preventing black silicon Endpoint by time Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 138

Polysilicon Etch Gates and local interconnections Most critical etch process, smallest CD Capacitor electrodes for DRAM Require high selectivity over silicon dioxide Cl 2 chemistry Cl + Si SiCl 4 gas solid gas Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 139

Some Facts About Chlorine Name Chlorine Symbol Cl Atomic number 17 Atomic weight 35.4527 Discoverer Carl William Scheele Discovered at Sweden Discovery date 1774 Origin of name From the Greek word "chloros" meaning "pale green" Molar volume 17.39 cm 3 Velocity of sound 206 m/sec Resistivity > 10 10 µω cm Refractive index 1.000773 Melting point -101.4 C or 171.6 K Boiling point -33.89 C or 239.11 K Thermal conductivity 0.0089 W m -1 K -1 Applications Used as the main etchant for poly silicon and metal etching processes. Polysilicon, epitaxy silicon deposition chamber clean. Sources Cl 2, HCl Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 140

Gate etch Polysilicon gate STI P-Well P-Epi USG P-Wafer N-Well Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 141

Polysilicon Etch Cl 2 as the main etchant HBr for sidewall passivation, blocking mechanism Add O 2 in over etch step to improve selectivity to SiO 2. High selectivity over SiO 2 is required Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 142

Polysilicon Etch Photoresist Gate Oxide Cl Polysilicon Cl SiCl 4 Single Crystal Silicon Substrate High poly-to-oxide selectivity is required Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 143

Polysilicon Etch Poly-Si on sidewall PR Gate oxide Poly-Si on sidewall SiO 2 Poly p + N-well Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 144

Process steps: Breakthrough Polysilicon Etch Removal of native oxide, energetic Ar + bombardment Main etch High poly etch rate, Cl and HBr chemistry Endpoint on O line Over etch Reduce power, add O 2 for high selectivity over SiO 2 Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 145

Metal Etch Etch TiN/Al Cu/Ti metal stack to form metal interconnection Usually use Cl 2 + BCl 3 chemistry Need etch away Cu in Al either physically or chemically Need strip photoresist before wafer exposure to moisture in atmosphere Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 146

CMOS Cross-section Titanium/Titanium Nitride Metal Etch TiN ARC Titanium Al-Cu Alloy W BPSG STI n + n + p + p + P-Well USG P-Epi P-Wafer N-Well Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 147

Etch Metal For metal interconnection Metal stack: TiN/Al Cu/Ti Cl 2 as the main etchant BCl 3, N 2 are used for sidewall passivation O 2 is used to improve selectivity to oxide Main challenges: etch profile and avoiding etch residue Metal grain size can affect etch process Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 148

Metal Etch Chemistries Cl 2 plasma Cl + Cl plasma Cl + Al AlCl 3 plasma Cl + TiN TiCl 4 + N plasma Cl + Ti TiCl 4 Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 149

Photoresist Dry Strip Remote plasma source Free radicals without ion bombardment High pressure, microwave plasma Very important to strip chlorine containing PR after metal etch to avoid metal corrosion In-situ with etch process in a cluster tool Improve throughput and yield Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 150

Photoresist Dry Strip O 2, H 2 O chemistry plasma H 2 O 2H + O H + Cl HCl O + PR H 2 O + CO + CO 2 + Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 151

Photoresist Strip Process H 2 O, O 2 Microwave Plasma Remote plasma chamber Process chamber Wafer with photoresist O H O O H O H O H 2 O, CO 2, HCl To the pump Heated plate Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 152

Dry Chemical Etch Unstable gases, such as XeF 2 and O 3 Remote plasma source free radicals Free from ion bombardment Thin film strip and wineglass contact etch In-situ with RIE chambers on one frame Nitride strip in both LOCOS and STI Nitride and Poly-Si strip in PBL Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 153

Blanket Dry Etch No photoresist. Etchback and film strip. Argon sputtering etch Dielectric thin film applications native oxide clean prior to metal deposition RIE etchback system Can be used in-line with dielectric CVD tools Sidewall spacer formation PR or SOG planarization etchback Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 154

CVD O 3 -TEOS USG Polysilicon Gate n - LDD Gate Oxide n - LDD Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 155

O 3 -TEOS USG Etchback Polysilicon Gate n - LDD Gate Oxide n - LDD Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 156

O 3 -TEOS USG Etchback Polysilicon Gate n - LDD Gate Oxide n - LDD Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 157

O 3 -TEOS USG Etchback Polysilicon Gate n - LDD Gate Oxide n - LDD Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 158

O 3 -TEOS USG Etchback Polysilicon Gate n - LDD Gate Oxide n - LDD Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 159

O 3 -TEOS USG Etchback Polysilicon Gate n - LDD Gate Oxide n - LDD Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 160

O 3 -TEOS USG Etchback Polysilicon Gate n - LDD Gate Oxide n - LDD Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 161

O 3 -TEOS USG Etchback Sidewall Spacer Polysilicon Gate Sidewall Spacer n - LDD Gate Oxide n - LDD Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 162

Etch Safety Corrosive and toxic gases Cl 2, BCl 3, SiF 4 and HBr Could be fatal if inhalation at a high concentration (>1000 ppm) RF power can cause electric shock Can be lethal at high power Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 163

Etch Safety All moving parts, are mechanical hazards May cause injury if one does not stay clear Lock-out, tag-out Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 164

RF Power Increasing RF power increases ion density, ion bombardment energy, and number of free radicals Etch rate will increase significantly The most important knob that controls etch rate Check RF system first if etch rate is out of specifications Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 165

Plasma Etch Trends Etch Rate ER Selectivity Selectivity RF Power Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 166

Pressure Pressure affects plasma density and shape Has strong effects on etch uniformity Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 167

Etch Trends More Physical RF More Chemical Pressure B Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 168

Future Trends for Etch Processes High density plasma (HDP) at low pressure Improve profile control Increasing plasma density Ion bombardment flux Independent ion flux and ion energy control HDP etchers in IC processing: ICP & ECR Helicon plasma source: ~100% ionization, candidate for future etch chamber design Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 169

Helicon Plasma Source Magnetic Coils Antenna Source RF plasma Magnetic field line E-chuck Helium Bias RF Wafer Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 170

Future Trends for Etch Processes 300 mm system Plasma uniformity control Plasma position control Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 171

Copper Etch? Cl 2 chemistry Low pressure (< 5 mtorr) High temperature (>250 C) Cannot use photoresist Need CVD oxide hard mask Competition with dual damascene process Very unlike can be used in IC production Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 172

Direct Hard Masking Photolithography Substrate UV Substrate Mask PECVD Si-PR Exposure, partially oxidation of Si-PR Substrate Substrate SiO 2 Cl 2 plasma removes unexposed Si-PR Down Stream O 2 fully oxidation of Si-PR Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 173

Future Trends Challenges ahead: Etch high-κ materials of gate dielectric and capacitor dielectric Etch low-κ materials of inter-metal dielectric Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 174

Summary Plasma etch is widely used for patterned etch process to transfer image on photoresist to surface materials. Epi, poly, oxide and metal Fluorine for oxide etch HBr for single crystal silicon etch Chlorine for polysilicon and metal etch Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 175

Summary Certain vacuum and constant RF power are need to strike and maintain a stable plasma RF power is main knob to control etch rate Pressure affects uniformity and etch profile High plasma density at low pressure are desired for etch deep sub-micron features. Dry chemical etch can be achieved with remote plasma source Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 176