Enhanced Mobility CMOS

Similar documents
EE410 vs. Advanced CMOS Structures

EECS130 Integrated Circuit Devices

High Mobility Materials and Novel Device Structures for High Performance Nanoscale MOSFETs

Prospects for Ge MOSFETs

The Pennsylvania State University. Kurt J. Lesker Company. North Carolina State University. Taiwan Semiconductor Manufacturing Company 1

Study of Carrier Transport in Strained and Unstrained SOI Tri-gate and Omega-gate Si Nanowire MOSFETs

Multiple Gate CMOS and Beyond

High hole and electron mobilities using Strained Si/Strained Ge heterostructures

Recent Development of FinFET Technology for CMOS Logic and Memory

III-V CMOS: What have we learned from HEMTs? J. A. del Alamo, D.-H. Kim 1, T.-W. Kim, D. Jin, and D. A. Antoniadis

Components Research, TMG Intel Corporation *QinetiQ. Contact:

Microsystems Technology Laboratories, MIT. Teledyne Scientific Company (TSC)

CMPEN 411 VLSI Digital Circuits. Lecture 03: MOS Transistor

MOS Transistor I-V Characteristics and Parasitics

The Future of CMOS. David Pulfrey. CHRONOLOGY of the FET. Lecture Lilienfeld s patent (BG FET) 1965 Commercialization (Fairchild)

Lecture 9. Strained-Si Technology I: Device Physics

Scaling Issues in Planar FET: Dual Gate FET and FinFETs

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room).

Performance Enhancement of P-channel InGaAs Quantum-well FETs by Superposition of Process-induced Uniaxial Strain and Epitaxially-grown Biaxial Strain

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing

Nanometer Transistors and Their Models. Jan M. Rabaey

Analytical Modeling of Threshold Voltage for a. Biaxial Strained-Si-MOSFET

Comparison of Ultra-Thin InAs and InGaAs Quantum Wells and Ultra-Thin-Body Surface-Channel MOSFETs

MOSFET: Introduction

Fabrication and Analysis of Deep Submicron Strained-Si N-MOSFET s

Performance Analysis of Ultra-Scaled InAs HEMTs

Ultimately Scaled CMOS: DG FinFETs?

The Devices. Devices

MOS Transistor Theory

Lecture #27. The Short Channel Effect (SCE)

ECE 342 Electronic Circuits. Lecture 6 MOS Transistors

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

NEW ANALYTICAL MODEL AND SIMULATION OF INTRINSIC STRESS IN SILICON GERMANIUM FOR 3D NANO PMOSFETS

CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS

Lecture 4: CMOS Transistor Theory

A Multi-Gate CMOS Compact Model BSIMMG

Tri-Gate Fully-Depleted CMOS Transistors: Fabrication, Design and Layout

Beyond Si: Opportunities and Challenges for CMOS Technology Based on High-Mobility Channel Materials T.P. Ma Yale University

30 nm In 0.7 Ga 0.3 As Inverted-type HEMT with Reduced Gate Leakage Current for Logic Applications

S=0.7 [0.5x per 2 nodes] ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems. Today. Scaling ITRS Roadmap

The Devices: MOS Transistors

The Devices. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

L ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling

Lecture 5: CMOS Transistor Theory

Technische Universität Graz. Institute of Solid State Physics. 11. MOSFETs

Application of High-κ Gate Dielectrics and Metal Gate Electrodes to enable Silicon and Non-Silicon Logic Nanotechnology

Nanoscale CMOS Design Issues

Electronics with 2D Crystals: Scaling extender, or harbinger of new functions?

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Semiconductor Physics Problems 2015

Quantum Mechanical Simulation for Ultra-thin High-k Gate Dielectrics Metal Oxide Semiconductor Field Effect Transistors

MOS Transistor Properties Review

The Prospects for III-Vs

VLSI Design The MOS Transistor

Negative Bias Temperature Instability (NBTI) Physics, Materials, Process, and Circuit Issues. Dieter K. Schroder Arizona State University Tempe, AZ

Low Frequency Noise in MoS 2 Negative Capacitance Field-effect Transistor

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa

Feature-level Compensation & Control. Process Integration September 15, A UC Discovery Project

The Critical Role of Quantum Capacitance in Compact Modeling of Nano-Scaled and Nanoelectronic Devices

Courtesy of S. Salahuddin (UC Berkeley) Lecture 4

ECE 305 Exam 5 SOLUTIONS: Spring 2015 April 17, 2015 Mark Lundstrom Purdue University

Section 12: Intro to Devices

Carbon Nanotube Electronics

Thin Film Transistors (TFT)

Journal of Electron Devices, Vol. 18, 2013, pp JED [ISSN: ]

! CMOS Process Enhancements. ! Semiconductor Physics. " Band gaps. " Field Effects. ! MOS Physics. " Cut-off. " Depletion.

Lecture 3: CMOS Transistor Theory

MSE 310/ECE 340: Electrical Properties of Materials Fall 2014 Department of Materials Science and Engineering Boise State University

Comparative studies of Ge and Si p-channel metal oxide semiconductor field-effect-transistors with HfSiON dielectric and TaN metal gate

A Verilog-A Compact Model for Negative Capacitance FET

Ultra-Scaled InAs HEMTs

Simple Theory of the Ballistic Nanotransistor

Part 5: Quantum Effects in MOS Devices

Quantum-size effects in sub-10 nm fin width InGaAs finfets

Strained Si CMOS (SS CMOS) technology: opportunities and challenges

A 20 nm gate-length ultra-thin body p-mosfet with silicide source/drain

EEC 118 Lecture #2: MOSFET Structure and Basic Operation. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

! CMOS Process Enhancements. ! Semiconductor Physics. " Band gaps. " Field Effects. ! MOS Physics. " Cut-off. " Depletion.

The Devices. Jan M. Rabaey

ECE-305: Fall 2017 MOS Capacitors and Transistors

Semiconductor Physics fall 2012 problems

MONTE CARLO SIMULATION OF THE ELECTRON MOBILITY IN STRAINED SILICON

This is the author s final accepted version.

Analysis of Band-to-band. Tunneling Structures. Title of Talk. Dimitri Antoniadis and Judy Hoyt (PIs) Jamie Teherani and Tao Yu (Students) 8/21/2012

DIFFUSION - Chapter 7

Field effect = Induction of an electronic charge due to an electric field Example: Planar capacitor

Lecture 12: MOS Capacitors, transistors. Context

SECTION: Circle one: Alam Lundstrom. ECE 305 Exam 5 SOLUTIONS: Spring 2016 April 18, 2016 M. A. Alam and M.S. Lundstrom Purdue University

Modeling and Analysis of Total Leakage Currents in Nanoscale Double Gate Devices and Circuits

MOS Transistors. Prof. Krishna Saraswat. Department of Electrical Engineering Stanford University Stanford, CA

Supporting information

Self-Aligned InGaAs FinFETs with 5-nm Fin-Width and 5-nm Gate-Contact Separation

Technology Development & Design for 22 nm InGaAs/InP-channel MOSFETs

II III IV V VI B C N. Al Si P S. Zn Ga Ge As Se Cd In Sn Sb Te. Silicon (Si) the dominating material in IC manufacturing

Section 12: Intro to Devices

Electric-Field Induced F - Migration in Self-Aligned InGaAs MOSFETs and Mitigation

Introduction to CMOS VLSI. Chapter 2: CMOS Transistor Theory. Harris, 2004 Updated by Li Chen, Outline

ESE534: Computer Organization. Today. Why Care? Why Care. Scaling. Preclass

Chapter 3 Basics Semiconductor Devices and Processing

CMOS Devices. PN junctions and diodes NMOS and PMOS transistors Resistors Capacitors Inductors Bipolar transistors

Transcription:

Enhanced Mobility CMOS Judy L. Hoyt I. Åberg, C. Ni Chléirigh, O. Olubuyide, J. Jung, S. Yu, E.A. Fitzgerald, and D.A. Antoniadis Microsystems Technology Laboratory MIT, Cambridge, MA 02139 Acknowledge support: DARPA, MARCO MSD, SRC 1

Outline Introduction Global and local stress techniques for CMOS performance improvement Beyond the first generation Summary 2

Introduction to MOSFET Scaling Challenges S/D extension Electrostatics Parasitics: Source/Drain Series resistance Gate dielectric leakage Gate electrode depletion Channel Transport S Channel G halo D 3

Electrostatics: Issues and Approaches As L is reduced, gate loses control of channel charge Short channel effects Technology solutions: Thin Si body Double or triple-gate structures (non-planar) G Channel L halo x j, ext S oxide Si D oxide Thin body MOSFET 4

Parasitics: S/D Series Resistance Source/drain series resistance is a significant I DS limitation with scaling Dopant solubility limits Lateral profile abruptness R S L x j, ext Technology solutions: Elevated source/drain Contact geometry Schottky barrier source/drain oxide 5

Gate Leakage Current Density (A/cm 2 ) Parasitics: Gate Insulator Leakage 10 5 10 4 1000 100 10 EOT Oxy-nitride (calc.) J g (limit) Source: 2003 ITRS High performance logic 2005 2010 2015 Year 1.4 1.2 1 0.8 0.6 0.4 0.2 0 Equivalent Oxide Thickness, EOT (nm) I DS = W Q inv vel. Q inv = C ox (V gs V t ) C ox = k ox ε o T ox EOT = 3.9 T physical k Beyond 2007, oxy-nitride not expected to meet gate leakage requirements 6

Gate Insulator Leakage: High K TiN/HfO 2 gate stack W. Tsai, IEDM 2003 (IMEC) EOT = 3.9 T physical k Higher K enables sub-1-nm EOT scaling with gate leakage current < 5 A/cm 2 However, numerous issues, including loss of mobility High K not expected until 45 nm node 7

Parasitics: Gate Electrode Depletion Gate Electrode Depletion: Lower inversion charge density effectively limits EOT scaling Solution: metal gates (high carrier density) may also assist with high k mobility issues R. Chau, et al., Intel, Nov. 2003 Metal gate Poly-Si gate Gate stack considered as a complete package: Channel, dielectric, electrode 8

MOSFET Scaling Challenges: Channel Transport & Universal Mobility Effective Electron Mobility µ eff (cm 2 /sec V) 700 600 500 400 300 200 100 Universal mobility 7x10 16 3x10 17 8x10 17 2x10 18 cm -3 Strained Si ~ 2X 0 0.5 1 1.5 Vertical Effective Field E (MV/cm) eff Mobility decreases as channel doping increases Bulk Si MOSFET mobility data from Takagi, et al., TED, 1994 Solution: Strain enables new universal mobility curve 9

Importance of Mobility in Sub-50 nm Silicon MOSFETs I DS = W Q inv v xo Measured Velocity Thermal Velocity 0.6 0.4 0.2 0 Lochtefeld, et al., (MIT), EDL 2001 50% V ds =V gs =1.5V 25 nm M.C. 40 nm exp. 70 nm exp. Decreasing L eff, T ox V GS = V DS = V dd V S V G V D Q i (x o ) v xo E C 0 x L CH x o State-of-the-art MOSFETS are far from ballistic: improving the channel mobility still matters 10

Impact of Enhanced Mobility on Drive Current Mobility Enhancement in Strained Si Channel/Relaxed SiGe n-mosfets v elec. = g mi / C OX (cm/sec) 10 7 10 6 ε OX / C OX = 67 A Strained Si Epi Si Control 0.1 1 L poly (µm) Rim, Hoyt, Gibbons IEDM 1998 1000 100 Intrinsic Transconductance, g mi (ms/mm) 67 Å 130 Å 750 Å 6000 Å 1.5 µm LTO Spacer 1800 A n + poly gate oxide p-strained Si n + p + Si 0.8 Ge 0.2 n Punch-through stop + p-relaxed Si 0.8 Ge 0.2 p-si 1-x Ge x Graded Layer p + Si Substrate x = 0.2 x = 0.05 Enhanced-mobility strained Si n-mosfet test structure Biaxial strain increases electron mobility above the universal MOS curve Mobility enhancements! I d and g m improvements at 100 nm channel length 11

I D I D Drain Current Increase I Dsat Increase is Correlated to Mobility 1 0.8 0.6 0.4 0.2 0 300K L=45nm L=45nm L=100nm Slope = 0.5 intrinsic 0 0.2 0.4 0.6 0.8 1 Electron Mobility Increase µ n µ n I Observe D µ ~ 0.5 n I D µ n Drain current increased for given L and DIBL 12

Improving the Performance of Digital and Analog Circuits Impact of I d and g m enhancement, for constant sub-threshold swing: improved logic switching speed: Gate delay τ = CV/I on I D ON 10-6 10-8 V t Swing S assume I on increased by 20% V t reduced leakage power trade 20% I on increase for 20X decrease in I off increased F t for analog MOS OFF 10-10 I off decreased by 20X 0 0.5 1 1.5V V G 13

Outline Introduction Global and local stress techniques for CMOS performance improvement Beyond the first generation Summary 14

Number of Strained Si papers 18 16 14 12 10 8 6 4 2 0 R&D in Strained Si Technology: Global Stress Techniques 1992 100 Å 1 µm 1993 Source 1994 1995 n+ poly 1996 SiO 2 1997 1998 Drain n+ Strained Si n+ Relaxed Si0.7 Ge0.3 Relaxed Graded Si1-yGe y layer y = 0 to 0.3 2 µm ~ ~ Si substrate 1999 2000 2001 2002 IEDM Conference Year (Dec.) 2003 Strained Si/SiGe Equilibrium Si 1-x Ge x Si Pseudomorphically Grown Tensile-Strained Si on Si 1-x Ge x Si Relaxed Si 1-x Ge x Breakthroughs in growth/materials/physics ~ 1990 ITRS Roadmap, 2001, Emerging Devices: Band-engineered transistor 15

Conduction Bands for Unstrained Si MOS SiO 2 Unstrained Si MOS 2 E c Unstrained Si MOS 4 2 [001] [010] 4 [100] Bulk Si 16

Effects of Strain on Conduction Bands Unstrained Si MOS 2 E C Strained Si MOS 2 Unstrained Si MOS 4 Strained Si MOS 4 1 E 3 s 2 E 3 s E tot Additional band splitting E s ~ 67 mev/10% Ge More electrons in lowest valley 2 (smaller m c *) Reduced intervalley scattering (larger E tot ) " Enhanced in-plane mobility (phonon-limited) 17

Mobility Measurements on Strained Si CMOS Rim, et al., VLSI Symp., 2002 (IBM) (STI, CMOS wells, halo implants, raised S/D, 2.2 nm t ox ) NMOS PMOS 13% Ge 28% Ge Peak mobility enhancements ~ 2X (electrons) and ~1.6X (holes) Hole mobility enhancement decreases with increasing vertical field 18

Valence Band: Oversimplified Strained Si Relaxed SiGe Unstrained Si E Γ k HH LH in-plane Strained Si E out-ofplane k Valence band degeneracy lifted ( E s ~ 40 mev/10% Ge) Reduced inter-band scattering and band deformation 19

High K Dielectrics with Strained Si Surface Channels Rim, et al., VLSI Symp., 2002 (T inv = 2.8 nm, 15% Ge substrate, poly-si gate) Strained Si can be used to recover mobility degradation associated with HfO 2 20

Continued EOT Scaling: HfO 2 + Metal Gate with Strained Si/Relaxed SiGe Datta, et al., IEDM, 2003 (Intel) (T inv = 1.4 nm, 10% Ge substrate, TiN gate) Metal gate improves mobility for thin HfO 2 dielectrics (screening effect) Mobility recovered to unstrained Si universal curve value with 10% Ge substrate at 1.4 nm EOT with HfO 2 dielectric 21

Number of Strained Si papers 18 16 14 12 10 8 6 4 2 0 1992 Global stress Local stress 1993 1994 1995 R&D in Strained Si Technology 1996 1997 1998 1999 2000 2001 IEDM Conference Year (Dec.) two major categories: global stress (e.g. strained Si/SiGe, biaxial tensile stress) local stress control or process-induced stress 2002 2003 STI, Cap layer, Silicide Schematic after C.-H. Ge, IEDM 2003 increase in IEDM papers in both categories in last three years 22

Local Stress: Optimizing Existing Processes for 3D Stress Control C.-H. Ge, et al., IEDM 03 (TSMC) Direction of stress with respect to current flow is important Tensile strain along y (W) believed to be beneficial for N- and P-MOS Effects increase as device is scaled in L and W 23

Example of Local Stress Technique T. Ghani, et al., IEDM 2003 (Intel) Intel demonstrated large I d (700 to 800 µa/µm) at 90 nm node for p-mosfets with selective SiGe in the source/drain regions n-mos I d enhancement ~ 10% PMOS NMOS 50% µ p enhancement at 1 MV/cm 24

Outline Introduction Global and local stress techniques for CMOS performance improvement Beyond the first generation of global and local stress techniques SSDOI Dual-channel MOSFETs Summary 25

Strained Si-Directly-on-Insulator Technology Potential advantages: better performance (as for SOI) eliminates processing and manufacturing issues associated with the thick SiGe layer T. Drake, et al., J. Elec. Mat., Sept. 2003 Oxide suitable for ultra-thin body transistors that may replace the bulk MOSFET 10 nm-thick strained Si Poly-Si 10 nm strained Si directly on insulator (SSDOI): biaxial tensile stress SiO 2 Strained Si 3.7 nm Defected region BOX 30% SSDOI, I. Aberg, et al., VLSI 2004 26

Fabrication of SSDOI Using Bond and Etch-Back Handle Wafer Strained Si LPCVD SiO 2 Strained Si LPCVD SiO 2 Strained Si Etch stops Relaxed Si 1-y Ge y Strained Si Relaxed Si 1-y Ge y Relaxed Si 1-y Ge y Strained Si Relaxed Si 1-y Ge y Relaxed Si 1-y Ge y Strained Si Relaxed Si 1-y Ge y Si 1-x Ge x grade Si 1-x Ge x grade Si 1-x Ge x grade CZ Silicon (p-) CZ Silicon (p-) CZ Silicon (p-) Si 1-x Ge x grade x ~ 22% Final SSDOI structure Relaxed Si 1-y Ge y Strained Si Relaxed Si 1-y Ge y Strained Si Strained Si Relaxed Si 1-y Ge y Strained Si LPCVD SiO 2 Handle Wafer Relaxed Si 1-y Ge y Strained Si LPCVD SiO 2 Handle Wafer LPCVD SiO 2 Handle Wafer 27

Enhanced Thermal Stability of SSDOI Unstrained Si Raman Intensity 4000 2000 No RTA 600C 10 sec 800C 10 sec 950C 1 sec Silicon SSDOI T.S. Drake et al., ICSI3, Santa Fe, Mar. 2003. See also: T. Langdo, et al., 2002 IEEE SOI Conf., p. 211 K. Rim, et al. IEEE IEDM, p. 49, 2003 0 480 500 520 540 Wavenumber (cm-1) Raman shift consistent with stain level for Si/SiGe (29%) No change in strain upon thermal annealing of SSDOI 28

Electron Mobility in SSDOI I. Aberg, et al., VLSI 2004 Effective Mobility (cm 2 /Vs) 1200 1000 800 600 NMOS 96% 100% 30% SSDOI, ~15 nm 24% SSDOI, ~18 nm 400 102% SOI * 200 0 0.2 0.4 0.6 0.8 1 1.2 Effective Electric Field (MV/cm) * Universal Mobility S. Takagi et al., IEEE TED 41, p. 2357, 1994 Electron mobility enhanced by 80% compared to Universal Mobility 29

Effective Mobility (cm 2 /Vs) Hole Mobility in SSDOI 250 200 150 100 PMOS 127% * 40% SSDOI, 6 nm 30% SSDOI, 8 nm 54% 15% SOI, 12 nm 50 0 0.2 0.4 0.6 0.8 1 Effective Electric Field (MV/cm) Large enhancements, even for thin silicon films * Universal Mobility S. Takagi et al., IEEE TED 41, p. 2357, 1994 30

Hole Mobility in 40% SSDOI Effective Mobility (cm 2 /Vs) 200 180 160 140 120 100 80 "universal" SOI 40% SSDOI 14 nm thick 60 0 0.5 1 1.5 2 Hole Density (x10 13 cm -2 ) I. Aberg, et al., IEDM 2004 Strained Si Thickest 40% SSDOI to date: 14 nm (26 nm as grown) Enhancement at high inversion charge density Si 17 31

Hole Mobility vs. SSDOI Thickness Peak Field Effect Mobility (cm 2 /Vs) 240 220 200 180 160 140 120 40% SSDOI SOI PMOS 30% SSDOI 100 N D ~ 5x10 16 cm -3 80 5 10 15 20 25 30 Silicon Thickness T (nm) Si µ FE µ eff equal at max point Film thickness suitable for PDSOI (today s technology) - process integration for SSDOI is similar to SOI 32

Dual-Channel Heterostructures Fitzgerald, Antoniadis, et al. Goal: nearly symmetric n- and p-mosfets Leverage high hole mobility in high Ge content compressively strained SiGe V T tunable by varying strain/ge fraction SS and charge control can be an issue for p-mosfets Strained Si (Tsi) Strained Si 0.4 Ge 0.6 (12nm) Relaxed Si 0.7 Ge 0.3 buffer Graded SiGe Si substrate E v E c Drain Current (ma) 5 4 3 2 1 Si N/PMOS SiGe NMOS (Tsi=4.6nm) SiGe PMOS(Ttsi=1.6nm) Vg-Vt=1,2V 0-2 -1.5-1 -0.5 0 0.5 1 1.5 2 Drain Voltage (V) J. Jung el al., EDL 2003 33

Mobility Enhancement in Dual-Channel MOSFETs Mobility Enhancement Factor 11 nm 2 1.8 1.6 1.4 1.2 1 NMOS Si 0.4 Ge 0.6 (strained) Si = 10 nm Strained Si cap = 3 nm NMOS Si 0.7 Ge 0.3 (relaxed) Si = 5 nm Strained Si 7 8 9 10 Vertical Effective Field (10 5 V/cm) Mobility Enhancement factor 4 3.5 3 2.5 2 1.5 1 E v E c PMOS PMOS J. Jung, et al., EDL, Aug. 2004. Strained Si cap = 3 nm Si = 5 nm Si = 10 nm 3 4 5 6 7 8 9 10 Vertical Effective Field (10 5 V/cm) large mobility enhancements for BOTH n- and p-mosfets 34

High Hole Mobility in Strained SiGe-Channel MOSFETs 1200 Effective Mobility (cm 2 /Vs) 1000 800 600 400 200 0 40%SSDOI Dual-Channel PMOS 60/30 100% Ge strained to 50% Substrate (M.L. Lee, et al.) 80/50 Unstrained universal NMOS: 20% Ge Strained Si Si-channel PMOS Intel 0.2 0.4 0.6 0.8 1.0 1.2 Vertical Effective Field (MV/cm) dual-channel hole mobilities much larger than for other technologies largest gain for strained Si/strained Ge on 50% (2X electron, 10x hole) 35

Evolution of Engineered Substrates Bulk Strained Si Strained Si 0.4 Ge 0.6 Si Si Relaxed Si 0.7 Ge 0.3 1. Si bulk 2. strain-si/sige bulk 3. Dual channel high mobility, degraded SS and thick relaxed SiGe Si SOI Strained Si Strained Si 0.5 Ge 0.5 Si oxide Si 4. SOI 5. SSOI and SSDOI Si oxide HOI 60 on 30 (combines benefits of dual-channel with FDSOI) 36

Novel Silicon Heterostructures on Insulator (HOI) XTEM 25 nm NMOS I. Aberg, et al., IEEE SOI Conf., Oct. 2004. 3 nm gate oxide 20 nm 12 nm Poly-Si 10 nm Strained Si Strained SiGe (50% Ge) Buried Oxide 3 nm gate oxide 3 nm Strained Si (original substrate was 24% Ge) PMOS p+ + p+ BOX NMOS - n+ n+ BOX HOI combines benefits of dual-channel and fully-depleted SOI: high mobility for electrons & holes with ideal sub-threshold swing 37

Device Fabrication on HOI Isolation Dry etched mesas Gate oxide Wet oxidation, 650ºC 3.5 nm N+ poly gate for both NMOS and PMOS HOI S/D anneal 850ºC, 10 s SSDOI and SOI reference annealed at 1000ºC, 10 s S N+ poly BOX D 38

PMOS Subthreshold Characteristics I. Aberg, et al., IEDM 2004 I D (A) 10-2 10-3 10-4 10-5 10-6 10-7 10-8 10-9 10-10 FD-HOI PMOS: SS = 66 mv/dec. V DS = -50mV, -1V gate: N+ poly W/L= 160 / 0.5 ε-si cap ~ 7 nm -1.6-1.2-0.8-0.4 V (V) GS Subthreshold Swing (mv/dec) 90 85 80 75 70 65 PMOS bulk dual-channel (Jung, et al.) HOI (Aberg) SOI 2 4 6 8 10 Si cap thickness (nm) Subthreshold characteristics improved over bulk dual-channel: 66 mv/dec. 39

Electron Mobility: HOI vs. SSDOI Effective Mobility (cm 2 /Vs) 1000 800 600 400 200 univ. mob 75% SOI 30% SSDOI 24% SSDOI I. Aberg, VLSI '04 Lines: SSDOI Symbols: HOI B: 46/30 A: 46/24 0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 Effective Electric Field (MV/cm) Strained Si (y) Strained Si 1-z Ge z Strained Si (y) BOX Split: z/y A: 46/24 B: 35/30 HOI electron mobility enhancement 75 % similar to SSDOI 40

HOI Hole Mobility Effective Mobility (cm 2 /Vs) 350 300 250 200 4 nm t cap = 2 nm I. Aberg, et al., IEDM 2004 PMOS A: 46/24 150 C: 35/24 120% 107% 100 ~4 nm SOI 50 0 0.5 1 1.5 2 Hole Density (x10 13 cm -2 ) t cap Strained Si (y) Strained Si 1-z Ge z Strained Si (y) BOX Split: z/y A: 46/24 C: 35/24 Mobility enhancement >100% for all N inv 41

Simulated Hole distribution Hole Density (cm -3 ) 10 19 10 18 10 17 4.0 nm strained Si (y = 0.24) N inv = 1x10 13 cm -2 7.1 nm 1.7 nm Si 0.54 Ge 0.46 0 4 8 12 16 Distance (nm) t ox = 3.5 nm 2D Simulation: DESSIS, density gradient model t cap Thick cap: mobility degraded, inversion in cap Thin cap: inversion in SiGe buried channel Strained Si (y) Strained Si 1-z Ge z Strained Si (y) BOX 42

HOI and SSDOI vs. SOI I. Aberg, et al., IEDM 2004 Mobility Enhancement Factor* 2.2 2 1.8 1.6 1.4 HOI A: 46/24 5.4 nm 7.1 nm t cap = 2 nm PMOS 1.2 0 0.5 1 1.5 2 Hole Density (x10 13 cm -2 ) t cap 14 nm SSDOI ε-si (y) ε-si 1-z Ge z ε-si (y) BOX * Reference is universal mobility derived from S. Takagi et al. 15 nm Enhancement > 2X possible at all N inv 43

Challenge: Thermal Budget (SIMS: impact of 10 s RTA) [Ge] in SiGe (%) 50 45 40 35 I. Aberg, SOI Conf., 2004 HOI initial 865ºC 965ºC Ge diffusion coefficient (cm 2/ s) 10-15 10-16 10-17 Si 0.5 Ge 0.5 Si 850 900 950 1000 1050 Temperature ( º C) Si SiGe 30 5 10 15 20 25 Depth (nm) Si N.R. Zangenberg, et al. Phys Rev. Lett. 87 (12), p. 125901, 2001 10 s RTA at 965ºC peak Ge decreases by 10 atomic % poorer hole confinement and inability to make thin Si caps Need ultra-low thermal budget annealing 44

Other Challenges Activation of n-type dopants: more difficult as Ge content increases Thin Si cap (~ 1 nm): eliminate Si cap if gate insulator is SiGe-compatible Band-to-band tunneling will increase with Ge content in channel (may limit scalability of pure Ge, but on-insulator helps) Keeping an open mind: difficult to change channel materials, but that is key to higher transport Si! SiGe (p-fet)! Ge! III-V on Si 45

Summary Number of challenges for maintaining CMOS performance trends Strain is a critical path to enhance transport: Local, global and perhaps combined techniques Higher Ge content structures are promising: strained SiGe or Ge channel for p-mos strained Si channel for n-mos feasible in a single epi layer stack Heterostructure on insulator: Transfer of strained heterostructures to insulator Provides new opportunities and challenges 46