Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Similar documents
Photolithography II ( Part 1 )

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

Introduction. Photoresist : Type: Structure:

Pattern Transfer- photolithography

Photolithography 光刻 Part II: Photoresists

Development of Lift-off Photoresists with Unique Bottom Profile

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process

Top down and bottom up fabrication

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009.

Effect of PAG Location on Resists for Next Generation Lithographies

EFFECT OF NANOSCALE CONFINEMENT ON THE PHYSICAL PROPERTIES OF POLYMER THIN FILMS

Introduction to Photolithography

Lecture 8. Photoresists and Non-optical Lithography

Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography

Overview of the main nano-lithography techniques

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking

IC Fabrication Technology

DUV Positive Photoresists

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists

EE C245 ME C218 Introduction to MEMS Design Fall 2007

High Optical Density Photomasks For Large Exposure Applications

Silicon VLSI Technology. Fundamentals, Practice and Modeling

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Techniken der Oberflächenphysik (Techniques of Surface Physics)

Lithography and Etching

Carrier Transport by Diffusion

Optical Proximity Correction

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography

Nanostructures Fabrication Methods

Exploration of non-chemically amplified resists based on chain-scission mechanism for 193 nm lithography

Photoresist Profile. Undercut: negative slope, common for negative resist; oxygen diffusion prohibits cross-linking; good for lift-off.

Resist material for negative tone development process

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT

Chapter 2. Design and Fabrication of VLSI Devices

Lecture 0: Introduction

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

520/ Photolithography (II) Andreas G. Andreou

nmos IC Design Report Module: EEE 112

FEM Modeling of Shrinkage Effects in Negative Tone Photoresists

Discussions start next week Labs start in week 3 Homework #1 is due next Friday

EE C245 ME C218 Introduction to MEMS Design Fall 2010

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas

EE 434 Lecture 7. Process Technology

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Spring 2009.

Title: ASML Stepper Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 12/21/2010

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media.

5. Photochemistry of polymers

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing

Far IR (FIR) Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Composition and Photochemical Mechanisms of Photoresists

Current Status of Inorganic Nanoparticle Photoresists

Recent progress in nanoparticle photoresist development for EUV lithography

Chapter 3 Basics Semiconductor Devices and Processing

Lecture 14 Advanced Photolithography

Study on Improved Resolution of Thick Film Resist (Verification by Simulation)

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Far IR (FIR) Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

EE143 LAB. Professor N Cheung, U.C. Berkeley

EE141- Spring 2003 Lecture 3. Last Lecture

A Parameter Extraction Framework for DUV Lithography Simulation

Chen et al. (45) Date of Patent: Dec. 5, (54) EFFECTIVE PHOTORESIST STRIPPING (56) References Cited

Next: 193nm Lithography

EE C245 ME C218 Introduction to MEMS Design

Dainippon Screen Mfg. Co., Ltd , Takamiya, Hikone, Shiga , Japan. IMEC vzw, Kapeldreef 75, B-3001 Leuven, Belgium

Fabrication Technology, Part I

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center

CHAPTER 6: Etching. Chapter 6 1

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Supplementary Information

Nano fabrication by e-beam lithographie

Woo Jin Hyun, Ethan B. Secor, Mark C. Hersam, C. Daniel Frisbie,* and Lorraine F. Francis*

Thin Wafer Handling Challenges and Emerging Solutions

EE-612: Lecture 22: CMOS Process Steps

Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process

Micro- and Nano-Technology... for Optics

DIFFUSION OF ACID AND ACTIVATION ENERGY OF POSITIVE CHEMICAL AMPLIFICATION RESIST

Characterization of Optical Proximity Correction Features

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law

EE 434 Lecture 12. Process Flow (wrap up) Device Modeling in Semiconductor Processes

Photonics applications 5: photoresists

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Fabrication Technology for Miniaturization

Clean-Room microfabrication techniques. Francesco Rizzi Italian Institute of Technology

EUVL Readiness for High Volume Manufacturing

Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography

Transcription:

http://www.intel.com/research/silicon/mooreslaw.htm 1

Moore s law only holds due to photolithography advancements in reducing linewidths 2

All processing to create electric components and circuits rely on photolithography 3

Typical MOS transistor NMOS = n-type carrier across gate 4

Transistor fabrication N-MOS P-MOS 5

Interconnect 6

Chapter 1 sections 1-7 : 7

8

Basic process flow 9

10

Wafer clean: removal of Organics and metalics 11

HMDS Hexamethyldisilazane Prime: Replaces surface adsorbed H 2 O and gives off Ammonia. This material produces a bond with the wafer surface creating a polar surface ( electrostatic). No surface wetting by Photoresist occurs on an un-treated SiO2 surface with these bonded hydroxyl groups. Basically the Photoresist is hydrophobic and will not adhere to a hydrophilic surface. The HMDS is a hydroxyl getter and creates a hydrophobic surface, which the Photoresist had good adhesion. BOTTOMLINE: Priming adjusts the surface energy of the wafer so that it is comparable to the surface energy of the Photoresist. 12

Photoresist 13

Spin Coat 14

Spin Coat: RPMs: Spread or cast, Ramp,and terminal 15

Spin Coat 16

Spin Coat 17

Softbake Removes solvent from film and stablizing coating: typical: 90C to 120C I-line DNQ 100C to 130C: DUV CAR 18

Alignment 19

Photoresist Exposure DNQ photoresist actinic radiation 20

DUV: Photoresist Exposure wavelengths below 200nm All use excimer lasers Note 248nm = KrF laser 21

Photoresist Exposure 22

Exposure and feature type 23

Positive and Negative Tone Photoresists 24

Contact/Proximity/Projection printing 25

Projection printing: Typical stepper 26

Projection printing: Numerical Aperture 27

Projection printing: High NA lens http://www.research.ibm.com/journal/rd/411/singh.html 28

Projection printing: Resolution http://www.research.ibm.com/journal/rd/411/singh.html 29

Projection printing: Depth of Focus http://www.research.ibm.com/journal/rd/411/singh.html 30

Projection printing: Depth of Focus http://www.research.ibm.com/journal/rd/411/singh.html 31

Optical lithography Performance: Resolution NA and wavelength coherent systems 32

Photoresist Standing waves: reflection/interference 33

Photoresist Post Exposure Bake Purposes: key idea DNQ/Novolak positive tone: diffusion bake : Diffusion of PAC to improve CD contact by removing standing waves. PAG/Novolak negative tone: (acid hardened resist: AHR) Diffusion of H+ ion to react with polymer causing polymer to become insoluble.( PAG: Triazine) DUV PAG/Blocking group/phs: Diffusion of H+ ion to react with blocking group causing PHS to become soluble 34

Photoresist exposure and dissolution Key ideas: DNQ and Novolak Resin I-line 365nm system 1. DNQ or Photo Active Compound PAC is an Inhibitor: It inhibits Development rate when present! There is very little dissolution in an OH solution. 2. Photolytic conversion of DNQ to ICA in by exposure to Near UV radiation ( Hg lamp) increases development rate PAG and Poly Hydroxystyrene PHS DUV CAR 248nm system 1. PAG Photo-Acid-Generator creates an Acid (H+) upon exposure to 248nm radiation. There is very little dissolution in an OH solution. 2. The addition of thermal energy using a PEB bake causes the H+ to diffuse and react with the blocking group, causing the exposed area to become soluble. 35

Photoresist Development Threshold dose Develop exposed photoresist in TMAH (2.38%) basic solution 36

Photoresist CDs Dose Vs linewidth Polysilicon Linewidth DUV photoresist 0 Focus offset. 0.7 Photoresist linewidth CD um 0.68 0.66 0.64 0.62 0.6 y = -0.0267x + 1.0649 R 2 = 0.9727 ACEN ALL ALR AUL AUR ZCEN ZLL ZLR ZUL ZUR Linear (AUL) 0.58 13 13.5 14 14.5 15 15.5 16 16.5 17 17.5 18 18.5 19 Exposure Dose 37

Photoresist CDs Dose Vs Spacewidth Contact CD Vs Exposure Dose Mj/cm2 IX405 i-line Photoresist Nominal 0.80u 0.95 Contact Photoresist CD microns 0.90 0.85 0.80 0.75 0.70 0.65 201CEN DI Linear (201CEN DI) y = 0.0013x + 0.5853 R 2 = 0.927 100 110 120 130 140 150 160 170 180 190 200 210 220 230 240 250 ASML 5500/100C Exposure dose mj/cm2 38

I-line Positive tone Photoresist 365nm http://www.jsrusa.com/resists.htm Line dense isolated line isolated space contact 39

I-line negative tone Photoresist 365nm http://www.jsrusa.com/resists.htm 40

DUV Photoresist KrF 248nm http://www.jsrusa.com/krfr11je.htm 41

DUV Photoresist OH contamination issue http://www.iemw.tuwien.ac.at/publication/workshop0600/hudek.html 42

Photoresist Post-develop bake Hardbake Improve adhesion of photoresist for subsequent wet processing: Wet etches: BHF, Acetic acid, H 2 O 2 Plateup: Au or Cu Increasing hardbake temperature will cause photoresist patterns to flow. 43

Photoresist Etch 44

Photoresist Etch: RIE http://www.iemw.tuwien.ac.at/publication/workshop0600/hudek.html 45

Photoresist removal: Strip Post etch 46