UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

Similar documents
ETCHING Chapter 10. Mask. Photoresist

Reactive Ion Etching (RIE)

Section 3: Etching. Jaeger Chapter 2 Reader

Etching: Basic Terminology

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Dynamization evolution of Dry Etch Tools in Semiconductor Device Fabrication Gordon Cameron Intel Corp (November 2005)

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Plasma Deposition (Overview) Lecture 1

(12) United States Patent (10) Patent No.: US 6,365,505 B1

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

Fabrication Technology, Part I

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

FRAUNHOFER IISB STRUCTURE SIMULATION

MICROCHIP MANUFACTURING by S. Wolf

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

CVD: General considerations.

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Regents of the University of California

Passionately Innovating With Customers To Create A Connected World

Chapter 7 Plasma Basic

LECTURE 5 SUMMARY OF KEY IDEAS

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

Etching Capabilities at Harvard CNS. March 2008

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher

EE C245 ME C218 Introduction to MEMS Design Fall 2007

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage

Wet and Dry Etching. Theory

EE C245 ME C218 Introduction to MEMS Design Fall 2007

Chapter 7. Plasma Basics

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing

Device Fabrication: Etch

Film Deposition Part 1

Novel Approach of Semiconductor BEOL Processes Integration

Thin Wafer Handling Challenges and Emerging Solutions

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Comparative Studies of Perfluorocarbon Alternative Gas Plasmas for Contact Hole Etch

Introduction. Photoresist : Type: Structure:

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

VACUUM TECHNOLOGIES NEEDED FOR 3D DEVICE PROCESSING

Sensors and Metrology. Outline

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

CHAPTER 6: Etching. Chapter 6 1

In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation

Plasma etching. Bibliography

Chapter 3 Basics Semiconductor Devices and Processing

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Nanometer Ceria Slurries for Front-End CMP Applications, Extendable to 65nm Technology Node and Beyond

Introduction to Photolithography

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

Wafer-scale fabrication of graphene

Chapter 2. The Well. Cross Sections Patterning Design Rules Resistance PN Junction Diffusion Capacitance. Baker Ch. 2 The Well. Introduction to VLSI

Wafer Charging in Process Equipment and its Relationship to GMR Heads Charging Damage

NNCI ETCH WORKSHOP - STANFORD NNCI PLASMA ETCH OVERVIEW. Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 24, 2016

Advances in Back-side Via Etching of SiC for GaN Device Applications

Multilayer Wiring Technology with Grinding Planarization of Dielectric Layer and Via Posts

Chapter 9, Etch. Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm

Evaluating the Performance of c-c 4 F 8, c-c 5 F 8, and C 4 F 6 for Critical Dimension Dielectric Etching

Gas utilization in remote plasma cleaning and stripping applications

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

nmos IC Design Report Module: EEE 112

Lecture 0: Introduction

Clean-Room microfabrication techniques. Francesco Rizzi Italian Institute of Technology

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013

Chapter 8 Ion Implantation

ECE520 VLSI Design. Lecture 8: Interconnect Manufacturing and Modeling. Payman Zarkesh-Ha

Network Model Analysis of Poly-silicon Film Deposition on Wafers in CVD Reactor

Equipment Innovation Team, Memory Fab. Center, Samsung Electronics Co. Ltd. San#16, Banwol, Taean, Hwansung, Kyungki, , Republic of Korea

Alternative deposition solution for cost reduction of TSV integration

Plasma atomic layer etching using conventional plasma equipment

ELECTRON-cyclotron-resonance (ECR) plasma reactors

MODELING OF AN ECR SOURCE FOR MATERIALS PROCESSING USING A TWO DIMENSIONAL HYBRID PLASMA EQUIPMENT MODEL. Ron L. Kinder and Mark J.

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

Plasma Technology September 15, 2005 A UC Discovery Project

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

Introduction to Low Pressure Glow Discharges for Semiconductor Manufacturing with special Emphasis on Plasma Etching. Gerhard Spitzlsperger

Carrier Transport by Diffusion

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

Hybrid Wafer Level Bonding for 3D IC

Chapter 6. Summary and Conclusions

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition

Manufacturable AlGaAs/GaAs HBT Implant Isolation Process Using Doubly Charged Helium

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials

Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I.

High-Precision Evaluation of Ultra-Shallow Impurity Profiles by Secondary Ion Mass Spectrometry

Plasma Etch Tool Gap Distance DOE Final Report

Taurus-Topography. Topography Modeling for IC Technology

SEMATECH Knowledge Series 2010

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Transcription:

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices 1 UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices Katsuya Watanabe Kenetsu Yokogawa Yutaka Omoto Hiroyuki Makino OVERVIEW: As semiconductor device technology evolves with shrinking of feature dimensions, this is driving demand for dielectric film etchers supporting tight CD (critical dimension) control and stable processing. Particularly, as the era of 9-nm feature semiconductor devices fast approaches, the need for a dielectric etcher has emerged as a high-priority concern that will accommodate (1) HARC (high aspect ratio contact) control with an aspect ratio greater than, () tight CD control with ArF and hard mask etching for gates, and (3) low-k (dielectric constant of less than.5) materials for damascene processes. To address these needs, Hitachi Group has developed a dielectric etcher that uses UHF-ECR (ultra-high frequency electron cyclotron resonance) and achieve excellent plasma control. Able to accommodate - to 3-mm size wafers, the etcher can be applied to a broad range of etching processes including HARC, gate mask etching, damascene processing of organic and inorganic low-k materials. The favorable assessment of customers in how well the system meets their performance needs is reflected in the large number of orders for the system. The system has the same base frame as previous systems that already have a solid track record on quantity production fab lines, so excellent reliability is assured. INTRODUCTION FINER featured semiconductor devices providing high levels of functionality and denser chip integration are indispensable for all the digital equipment and systems supporting the ubiquitous information society. Fabrication of these devices will require smaller geometry 1-nm structures and the adoption of new materials, and this is fueling a demand for new etching technologies that will support these smaller tolerances. Processing of dielectric materials in particular involves a number of processing challenges including the processing of gate masks with a tight CD (critical dimension) control since this determines the transistor speed, processing of transistor contact holes with high aspect ratio to increase memory capacitance, and via hole and trench dual damascene processing of new low-k materials that are now starting to be used for interconnect interlayer dielectric layers 1, ). Hitachi Group has sought to accommodate these demands with the development of a UHF-ECR (ultrahigh frequency electron cyclotron resonance) etcher for next-generation processes (see Fig. 1). This article will give an overview of the etcher, highlighting the system s principle features, performance, and a number of process application examples. UHF-ECR PLASMA ETCHER S FEATURES AND CONFIGURATION Dielectric etching applications typically rely on the competing influences of polymer deposition and reactive ion etching caused by disassociated fluorocarbon gas species. This means that to achieve greater etching accuracy, it is necessary to impose tighter control over the dissociation species bombarding the wafer. Among these dissociated species, controlling the ratio of CF /F radicals affects the selectivity of the mask and the substrate and high aspect ratio hole openness. Control of the ion ratio to CF radicals is also important, for this affects the etching profile. By achieving better control over these parameters, the UHF-ECR etcher is capable of etching finer features for the 9-nm technology node and beyond. Fig. shows a schematic representation of the etching chamber for dielectric layers. Here we will highlight two main features of this approach:

Hitachi Review Vol. 5 (3), No. 3 17 Trench Hole SiOC dual damascene process example.1-µm HARC Organic film dual damascene process example (a) Appearance of UHF-ECR Dielectric Film Etcher (b) Etching Process Examples Fig. 1 Appearance of UHF-ECR Dielectric Film Etcher of Next-generation Processes (a), and Processing Examples (b). For HARC (deep hole) processing and Cu damascene processes, the interlayer dielectric film etcher provides excellent profile control and can accommodate 3-mm wafers at the 9-nm and 5-nm technology nodes. Solenoid coil Flat antenna UHF waves Antenna bias UHF waves B B Coils A Semi-narrow gap reactor Focus ring bias Wafer bias Inner sleeve Fig. Schematic Representation of Etching Chamber. A stable medium density gas plasma is produced at low-tomedium gas pressure through interaction between UHF waves from a flat antenna and a magnetic field produced by a solenoid coil. Density ( 1 11 /cm 3 ) 1..5 1-1 UHF electric field (arbitrary scale) Magnetic field distribution: A B C -5 5 1 Wafer position (mm) Fig. 3 Principle of Plasma Distribution Control for Etcher. The distribution of plasma is controlled by using coil current to shift where high-density plasma is generated A B C. C B (1) The ECR plasma is formed by 5-MHz UHF waves and a magnetic field. This enables the formation of a medium-to-high density plasma at low-to-medium gas pressure that is required for fine feature processing. By using UHF band frequencies, fluorocarbon gas disassociation and production of excess F are suppressed 3). This effectively reconciles good selectivity of the mask with high aspect ratio processing. In Fig. 3 one will also observe that the distribution of the plasma can be controlled by regulating the ECR surface by the magnetic coil current. This enables a uniform distribution of plasma across the entire surface of the wafer under various processing conditions. () The UHF waves are introduced to the chamber by a flat antenna, and there is a semi-narrow gap of 3 to 1 mm between the flat antenna and the wafer. As illustrated in Fig., the radical ratio of the plasma can

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices 1 Injection flux ( 1 17 /cm /s) 1 1 CF 5 1 15 Gap (mm) (a) Gap dependency of amount of active species F C, CF Etching rate (nm/min) 7 5 3 1 Resist Selectivity 5 1 15 Gap (mm) SiO 1 1 1 (b) Gap dependency of mask selectivity Resist selectivity Fig. Control of Active Species in Plasma by Adjusting Gap. For narrow gap settings, mask selectivity is increased. For wide gap settings, good CD control and vertical profiles are obtained. be regulated by varying the width of the gap. This configuration results in an ECR plasma zone beneath the antenna of about to 3 mm and a diffusion plasma zone under the ECR plasma zone. The ECR plasma produces ions and relatively high concentrations of CF radicals, while the diffusion plasma zone produces relatively high concentrations of F radicals. Therefore, reducing the gap increases the CF /F ratio which increases selectivity of the resist, while increasing the gap does just the opposite: the CF /F ratio in deceased which produces a plasma supporting excellent CD and vertical sidewall control. Using the UHF power to independently control the gap and the antenna bias and adjusting the ratio of CF to F radicals and ions to CF, the UHF-ECR etcher can be easily optimized to accommodate a wide range of processing applications including holes, masks, damascene, via holes, trenches, and more. Because the plasma is generated at some remote from the sidewalls, it has virtually no adverse effects on the sidewall materials. HARC ETCHING PROCESS The basic requirements for HARC (high aspect ratio contact) etching process are summarized as follows: (1) Assurance of good vertical profile () High degree of selectivity for mask material (PR: photoresist) (3) Good control over CD shift tolerances In hole processing, balance among radicals dissociated from fluorocarbon gas is controlled on the CF /F rich side, and reconciling high aspect ratio hole openness, vertical profiles, and selectivity to masks and substrates are important ). Resist SiO. µm Si Etching Etching + Ashing Fig. 5 Examples of.9-µm HARC Etching Process. Good vertical profile without bowing and resist selectivity are reconciled by processing with a narrow gap setting at low pressure. Using the UHF-ECR etcher, the radicals dissociated from the fluorocarbon gas are controlled by reducing the gap between the antenna and wafer, and this increases the CF /F ratio. Moreover, the reactivity between excess F and shower plating material Si is controlled by the antenna bias, and this enables the CF /F ratio to be controlled. Good vertical profiles are easily achieved using the low-pressure region. Fig. 5 shows processing examples for a.1-µm hole with an aspect ratio greater than. GATE MASK ETCHING PROCESS As gate geometries diminish, the following are required to achieve the greater precision and dimension control over hard-mask etching for gates: (1) Assurance of good vertical profile () Good selectivity of substrate material (WSi, poly- Si)

Hitachi Review Vol. 5 (3), No. 3 19 (3) Control over the CD shift amount () Applicability to ArF resist mask with low plasma resistance The principle objectives of mask etching are to ensure good vertical profiles for the BARC (bottom anti-reflection coating), the BARL (bottom antireflection layer), SiN, and TEOS (tetra-ethoxysilane) while imposing an appropriate degree of control over the CD shift. The etching profile and CD shift are largely determined by the etching rate in the vertical and horizontal directions with respect to the planar surface of the wafer, so it is important to achieve the right balance between the etchant component and the deposition component. The UHF-ECR etcher is capable of producing a medium density plasma over a large area at low pressure, thus ensuring a good vertical profile with minimum CD shift. In gate mask processing, step etch process is required to minimize the CD shift and ensure the selectivity of the underlying substrate, so in this case the magnetic field and antenna bias are used to regulate the rate distribution of each step so that the in-plane variation in the CD shift is held below 5 nm. Since ArF resist has relatively weak resistance to plasma, the wafer temperature must be reduced, and the UHF-ECR etcher obtains vertical profiles through low-pressure processing even in the low-temperature domain. Fig. shows processing examples for a.1- µm gate mask. LOW-k FILM ETCHING PROCESS A number of different material systems are now being evaluated for use as low-k interlayer dielectric material including SiOF, SiOC, and organic films. There is thus a ready demand for an etcher that can support etching processes tailored to these different materials. In dual damascene processing, a number of special issues must be addressed in order for the via hole and trench processing to be done consistently. One of the virtues of the UHF-ECR etcher is that it is capable of producing stable gas pressures and plasma densities over a wide range, so it can be readily applied to low-k damascene process applications. Next, we will briefly describe processing application examples for inorganic and organic films, respectively. Inorganic Low-k Films In interlayer dielectric processing using low-k film, via hole and trench processing are required. First, we consider the requirements for via hole processing: (1) Good vertical profile with no bowing Center Edge Wafer specifications: BARC/SiN/SiO Fig. Examples of Gate Mask Etching. Excellent vertical profile and CD control are obtained by processing at low pressure, while excellent in-plane uniformity is obtained by controlling the magnetic field. () Good selectivity with the mask material (PR: photo resist) (3) Suppression of processing defects due to particles from the sidewall material The requirements for trench processing are as follows: (1) Good vertical profile () Prevent abnormal shapes including fences and subtrenches (3) Prevent chemical damage to the film These requirements are largely the same as those mentioned earlier for the HARC process and gate mask etching. Although the chemical conditions must be adjusted somewhat to accommodate the properties of different materials, essentially an optimum process can be constructed by applying the HARC process for the inorganic low-k film via hole process, and the gate process for the trench process, respectively. Organic Low-k Films For organic etching a good vertical profile mask selectivity is important, but in addition, it is also important that no residue is produced. Since damage to the film must be prevented and good profile control is required, hydrogen gas is generally used for the etching gas. This means that if sputtering strikes the chamber wall, material particles will be emitted into the plasma, thus resulting in residue and particles. Since high frequency is used in UHF-ECR etching, self bias (Vdc) at sidewalls is minor. For this reason, antenna bias control is used to minimize sputter while

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices 17 SiLK* etching rate (nm/min) 3 5 SiLK rate 3 15 1 5 SiLK vs. mask 1 5 1 15 5 Wafer bias (W) SiLK vs. hard-mask selectivity (flat) (a) Example of improved hard-mask shoulder selectivity Wafer bias. µml/s 5 W 1 W W (b) Sample: hard mask vs. SiLK * SiLK is a registered trademark of The Dow Chemical Company. L/S: line and space Fig. 7 Examples of Organic Film Etching. By independently controlling the ion energy, excellent mask shoulder selectivity and non residue etching are obtained. suppressing deposition on the antenna surface, so that there is relatively little residue and particles, and a stable process is achieved. Little residue is produced by this process even when the wafer bias is reduced, so as a consequence the mask selectivity is increased (see Fig. 7). This process has already been applied to a commercial product, Hitachi s plasma process tool, and considerable experience has now been obtained with the process. CONCLUSIONS This article provided an overview of the UHF-ECR dielectric film etching tool that was developed for the 9-nm technology node and beyond. Fabrication equipment for semiconductor devices must be capable of accommodating a wide range of process applications including high-aspect-ratio holes, masks, and low-k damascene processes. We are confident that by further enhancing the UHF-ECR system and improving the controllability of the plasma, Hitachi Group will be able to accommodate all of these needs. REFERENCES (1) S. Kadomura et al., Low-k Films and Etching, Electronic Journal, th Technical Symposium, pp. 7-39 (1999) in Japanese. () T. Kawane, Copper Damascene, Semiconductor World, pp. -5 (199) in Japanese. (3) N. Itabashi et al., UHF-Band ECR Plasma, Journal of Plasma and Fusion Research, Vol.73, No.1, pp. 135-1373 (1997). () M. Izawa et al., Study of High-selectivity Oxide Layer Etching by UHF-ECR Plasma, Preprints of the th Symposium of the Japan Society of Applied Physics, pp. 793-79 (1999) in Japanese. ABOUT THE AUTHORS Katsuya Watanabe Joined Hitachi, Ltd. in 195, and now works at the Semiconductor Equipment Design Department, Kasado Division of Hitachi High-Technologies Corporation. He is currently engaged in the development of dielectric film etching process. Mr. Watanabe is a member of The Japan Society of Applied Physics (JSAP), and can be reached by e-mail at watanabe-katsuya@sme.hitachi-hitec.com. Kenetsu Yokogawa Joined Hitachi, Ltd. in 19, and now works at the Advanced Technology Research Department, Central Research Laboratory. He is currently engaged in the development of dry etching technique and other plasma application. Mr. Yokogawa is a member of The Japan Society of Applied Physics, and can be reached by e-mail at yokogawa@crl.hitachi.co.jp. Yutaka Omoto Joined Hitachi, Ltd. in 19, and now works at the R&D Center, Kasado Division of Hitachi High- Technologies Corporation. He is currently engaged in the research on plasma etching equipment and process technologies. Mr. Omoto is a member of JSAP, and can be reached by e-mail at ohmoto-yutaka@sme.hitachi-hitec.com. Hiroyuki Makino Joined Hitachi, Ltd. in 19, and now works at the Semiconductor Manufacturing Equipment Sales Division, the Device Manufacturing Systems Business Group of Hitachi High-Technologies Corporation. Mr. Makino is currently engaged in the marketing for dry etching equipment, and can be reached by e-mail at makino-hiroyuki@nst.hitachi-hitec.com.