Patterning Challenges and Opportunities: Etch and Film

Similar documents
ETCHING Chapter 10. Mask. Photoresist

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials

Etching: Basic Terminology

Reactive Ion Etching (RIE)

Selective Processes: Challenges and Opportunities in Semiconductor Scaling

Section 3: Etching. Jaeger Chapter 2 Reader

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

Device Fabrication: Etch

Procédés de dépôt plasma avec injection pulsée de précurseurs (PECVD et PEALD) :

Wet and Dry Etching. Theory

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

CHAPTER 6: Etching. Chapter 6 1

CVD: General considerations.

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

EE-612: Lecture 22: CMOS Process Steps

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

LECTURE 5 SUMMARY OF KEY IDEAS

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Fabrication Technology, Part I

Chapter 7 Plasma Basic

Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development

DOE WEB SEMINAR,

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Technology for Micro- and Nanostructures Micro- and Nanotechnology

Plasma atomic layer etching using conventional plasma equipment

Plasma etching. Bibliography

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

Chapter 7. Plasma Basics

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Plasma etch control by means of physical plasma parameter measurement with HERCULES Sematech AEC/APC Symposium X

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

ALD & ALE Tutorial Speakers and Schedule

New plasma technologies for atomic scale precision etching

VACUUM TECHNOLOGIES NEEDED FOR 3D DEVICE PROCESSING

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

Modification of thin films and nanoparticles. Johannes Berndt, GREMI,Orléans

Accelerated Neutral Atom Beam (ANAB)

Hotwire-assisted Atomic Layer Deposition of Pure Metals and Metal Nitrides

Tilted ion implantation as a cost-efficient sublithographic

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

Lithography and Etching

Chapter 9, Etch. Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm

Plasma Deposition (Overview) Lecture 1

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Atomic layer deposition of titanium nitride

DO NOT WRITE YOUR NAME OR KAUST ID NUMBER ON THIS PAGE OR ANY OTHER PAGE PUT YOUR EXAM ID NUMBER ON THIS PAGE AND EVERY OTHER PAGE YOU SUBMIT

Nanoimprint Lithography

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Modeling of Ion Energy Distribution Using Time-Series Neural Network

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory

High-density data storage: principle

Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods

EE C245 ME C218 Introduction to MEMS Design

Etching Capabilities at Harvard CNS. March 2008

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I.

Introduction to Photolithography

Thomas Schwarz-Selinger. Max-Planck-Institut for Plasmaphysics, Garching Material Science Division Reactive Plasma Processes

EE 143 MICROFABRICATION TECHNOLOGY FALL 2014 C. Nguyen PROBLEM SET #7. Due: Friday, Oct. 24, 2014, 8:00 a.m. in the EE 143 homework box near 140 Cory

Ion Implant Part 1. Saroj Kumar Patra, TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU )

Understanding electron energy loss mechanisms in EUV resists using EELS and first-principles calculations

EE143 LAB. Professor N Cheung, U.C. Berkeley

Clean-Room microfabrication techniques. Francesco Rizzi Italian Institute of Technology

Top down and bottom up fabrication

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher

ABSTRACT COMPARISON OF ADVANCED RESIST ETCHING IN E-BEAM GENERATED PLASMAS

EE 292L : Nanomanufacturing. Week 5: Advanced Process Technology. Oct

Evaluation of plasma strip induced substrate damage Keping Han 1, S. Luo 1, O. Escorcia 1, Carlo Waldfried 1 and Ivan Berry 1, a

Characterization of Post-etch Residue Clean By Chemical Bonding Transformation Mapping

Chapter 6. Summary and Conclusions

Supporting Information for: Sustained sub-60 mv/decade switching via the negative capacitance effect in MoS 2 transistors

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications

The Pennsylvania State University. Kurt J. Lesker Company. North Carolina State University. Taiwan Semiconductor Manufacturing Company 1

EE C245 ME C218 Introduction to MEMS Design Fall 2010

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)

Sensors and Metrology. Outline

Simulating mechanism at the atomic-scale for atomically precise deposition and etching

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL

X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu

MSN551 LITHOGRAPHY II

Ion beam lithography

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC

Evaluating the Performance of c-c 4 F 8, c-c 5 F 8, and C 4 F 6 for Critical Dimension Dielectric Etching

A comparison of the defects introduced during plasma exposure in. high- and low-k dielectrics

JOHN G. EKERDT RESEARCH FOCUS

Robert A. Meger Richard F. Fernster Martin Lampe W. M. Manheimer NOTICE

Transcription:

Patterning Challenges and Opportunities: Etch and Film Ying Zhang, Shahid Rauf, Ajay Ahatnagar, David Chu, Amulya Athayde, and Terry Y. Lee Applied Materials, Inc. SEMICON, Taiwan 2016 Sept. 07-09, 2016, Taipei, Taiwan

2 Outline Advanced nodes pose challenges for patterning These challenges demand new film and etch/removal capabilities Atomic Level Deposition Atomic Level Etch and Removal Low electron temperature plasma etch Highly selective radical based removal Closing remarks

Advanced nodes pose challenges for patterning

4 Patterning Technology Trend Lithography Technology Materials Engineering Lithography Technology 248nm 193nm 193i Litho multiple exposure EUV Complementary Litho e.g., 193i + EUV Key challenge: Overlay EPE Materials Engineering Etch Film ALD Gapfill Selective removal ALE Selective deposition/growth Key advantage: Enable self-align schemes Atomic Level Controllability

5 SAxP Flows In SAxP pitch splitting flows 1 litho step + many non-litho steps (film, etch, etc.) e.g.: SAQP: Litho Etch ALD Etch ALD Etch

6 CD/CDU/LER/LWR dominated by Litho, Etch and ALD In SAQP, there are 8 edges : Direct edge: = f (Litho CD/CDU/LER/LWR) S1 edge: = f (Litho and 1 st spacer CD/CDU/LER/LWR) S2 edge: = f (1 st and 2 nd spacer CD/CDU/LER/LWR) S1/S2 edge: = f (1 st, 1 st spacer and 2 nd spacer CD/CDU/LER/LWR) Source: Schenker, Intel SPIE 2016 To systematically reduce EPE: CD/CDU/LER/LWR of all edges at all steps need to be measured to trace down root causes Litho the key source of LER Etch/ALD the key for pitch walking

These challenges demand new film and etch/removal capabilities - ALD

8 Conventional ALD vs. Olympia TM Reconfigures ALD What is ALD? Conventional ALD Olympia TM ALD Divides CVD into two half-reactions Precursor Precursor Is self-limiting, producing uniform, conformal deposition A B A B Wafer is stationary A B On Off On Off A B Alternating chemistries Purge separates chemistries Primary technology used today Wafer travels continuously Spatially separated chemistries Chemistry-free zones isolate individual chemistries

Modular Design for Atomic-Level Engineering Precursor Precursor Treatment X ALD Mode Process Sequence Conventional ALD Thermal Plasma Enhanced A A B B p 20nm Titanium Nitride 20n m Silicon Nitride 20nm Titanium Oxide 20n m Silicon Oxide 100nm Aluminum Oxide Olympia TM ALD Atomic- Layer Treatment Versatility Broadens Spectrum of Achievable ALD Materials without Compromising Productivity A B X Source: Applied Materials, Inc. 9

These challenges demand new film and etch/removal capabilities - Etch

11 RIE Plasma etching patterning trend Mainstream plasma technologies Variety of CCP Variety of ICP ECR DSP/RP Add-on s Variety of RF pulsing technologies Mainstream plasma technologies Variety of CCP Variety of ICP ECR DSP/RP Add-on s Variety of RF pulsing technologies Thin Layer Etching (TLE) Atomic Layer Etching (ALE) Complex pulsing technologies Advanced radical etching Low T e plasmas Neutral beam

12 Basic Mechanisms of Reactive Ion Etching Ion-neutral reaction synergism One of the most important concepts of plasma-surface chemistry is the synergism of ion and neutral reactions Three key aspects of ion bombardment: Stimulate surface reactions Stimulate desorption or clear the surface of etch-inhibiting, nonvolatile residues Anisotropic or directional etching Ion Bombardment effects in Reactive Ion Etching Coburn and Winters, J. of App. Phys. 50. 3189-3196, 1979

SICLthick (A) 13 Low electron temperature, T e, plasmas Intuitively, lower T e lower V p lower ion energy lower damage ALE(?) How to control low ion energy, e.g., from <4eV to ~25eV? 50 40 30 5eV 10eV 25eV 50eV 100eV Radical Cl + Cl + Radical Cl + 25eV Cl + ~4 layers 20 10 Radical Cl + 5eV Cl + ~1-2 layers 0 0 1 2 3 4 5 Cl+ Fluence (ML) From Oliver Joubert, CNRS-LTM

14 Low T e Plasma Etch System A low T e plasma is produced in the processing chamber using energetic beam electrons in the 0.5 2.5 kev energy range. A separate inductively coupled plasma (ICP) based radical source is used in our system to provide accurate control over relative concentrations of radicals and ions Another important element in this plasma processing system is low frequency RF bias capability which allows control of ion energy in the 2 50 ev range Radical source e-beam source x Bias (wafer voltage)

15 Cross-section (Å 2 ) f e (au) Ion / Radical Composition: RF and Low T e Plasmas In an RF plasma (with T e = 4.0 ev), significantly more electrons can dissociate than ionize due to lower threshold for dissociation. In a low T e plasma produced using energetic electrons, radical / ion fraction is much lower. 6 Cl 2 1.2 f e @ T e = 4.0 ev Ebeam 1.0 4 s ion 0.8 f e @ T e = 0.2 ev 0.6 2 0.4 s diss 0.2 0 1 10 100 1000 Energy (ev) 0.0

Low T e Plasma can etch Si layer-layer with minimal damage The top surface can be more quantitatively analyzed using electron energy loss spectroscopy (EELS). The thickness of the amorphous layer at the top is similar for the unprocessed sample and the sample which has been etched in the low T e plasma only. When RF bias is applied to increase E i, the amorphous layer thickness increases. The sample that was etched in the inductively coupled plasma without bias shows similar damage to the 0.8 W etch case.

These challenges demand new film and etch/removal capabilities Selective Removal

18 What is Extreme Selectivity? Multiple Material Layers are Formed in a Structure Extreme Selectivity Enables Removal of Only One Material No Damage or Residues Remaining Selectra TM Removes Target Material without Damage to Others Critical for Patterning and 3D Architectures

19 Collapse Percentage (%) New Etch Methods Required to Continue Scaling Traditional Wet Etch Collapse of high aspect ratio structures Inability to penetrate small dimensions Traditional Dry Etch Lacks extreme selectivity Insufficient lateral etch control 100 80 Overetch at Top 60 40 20 Internal Image Incomplete Removal 0 Graph Courtesy of imec 10 15 20 25 30 Aspect Ratio Pattern Collapse Internal Image Tight Features Internal Image Lateral Control Traditional Etch Technologies Unable to Advance Moore s Law Insufficie nt at Bottom

20 How Does Selectra TM Achieve Extreme Selectivity? Plasma creates etchant chemistry Ions are blocked, chemistry passes through Damage-free, extreme selectivity etch without polymers The Selectra TM System Creates Tailored Chemistry for Extreme Selectivity

21 Extreme Selectivity Enables 10nm Multi-Patterning Pre- Selectra TM 9.3n m Si Ox SiN Ox Internal Image Post- Selectra TM Ox SiN 9.3n m No change in spacer width Ox Internal Image

Etch Amount (Å) Atomic-Level Precision Enables 10nm FinFET Pre-Selectra TM Post-Selectra TM Pre-Selectra TM Post-Selectra TM Ox Ox α-si 10 8 Si Internal Image Si Internal Image TiN Ox Ox TiN 6 0 Applied Materials Internal Structures 22 4 2 Silicon etch of two atomic layers Selectra TM Enables Fin Scaling and Penetration of Atomic-Level Structures Si Internal Image Si Internal Image Can access spaces <5 silicon atoms across

23 Lateral Etch Uniformity Enables 3D NAND Pre Etch Traditional Etch Selectra TM Etch Selectra TM Etch Creates Consistent Contact Resistance

24 Closing Remark Advanced nodes pose challenges for patterning Patterning trend: Litho dominating Litho/Materials engineering dominating Recent EUV emerging will help Litho, e.g., complementary litho, but not likely change this trend These challenges demand new film and etch/removal capabilities CD/CDU/LER/LWR play increasingly critical role in scaling Etch/Removal and Film play increasingly critical role in EPE reduction More opportunities for Film and Etch/Removal but key challenges are to have atomic level precision Atomic Layer Deposition Atomic Layer Etch and Removal Low electron temperature plasma etch Highly selective radical based removal