Superconducting Through-Silicon Vias for Quantum Integrated Circuits

Similar documents
DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

EE C245 ME C218 Introduction to MEMS Design Fall 2007

CVD: General considerations.

Regents of the University of California

Fabrication Technology, Part I

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Alternative deposition solution for cost reduction of TSV integration

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

nmos IC Design Report Module: EEE 112

Advances in Back-side Via Etching of SiC for GaN Device Applications

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

EE C245 ME C218 Introduction to MEMS Design Fall 2007

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes

3D Stacked Buck Converter with SrTiO 3 (STO) Capacitors on Silicon Interposer

Lecture 0: Introduction

Film Deposition Part 1

Thin Wafer Handling Challenges and Emerging Solutions

Plasma Deposition (Overview) Lecture 1

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

ETCHING Chapter 10. Mask. Photoresist

LECTURE 5 SUMMARY OF KEY IDEAS

MICROCHIP MANUFACTURING by S. Wolf

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

TCAD Modeling of Stress Impact on Performance and Reliability

GHZ ELECTRICAL PROPERTIES OF CARBON NANOTUBES ON SILICON DIOXIDE MICRO BRIDGES

Passionately Innovating With Customers To Create A Connected World

Time-of-Flight Flow Microsensor using Free-Standing Microfilaments

Resonator Fabrication for Cavity Enhanced, Tunable Si/Ge Quantum Cascade Detectors

3-1-2 GaSb Quantum Cascade Laser

Asymmetrical heating behavior of doped Si channels in bulk silicon and in silicon-on-insulator under high current stress

Wet and Dry Etching. Theory

In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

Foundations of MEMS. Chang Liu. McCormick School of Engineering and Applied Science Northwestern University. International Edition Contributions by

DQN Positive Photoresist

HIGH-QUALITY-FACTOR superconducting resonators

Chapter 7 Plasma Basic

Section 3: Etching. Jaeger Chapter 2 Reader

Technology for Micro- and Nanostructures Micro- and Nanotechnology

Introduction to Photolithography

Device Fabrication: Etch

Research Challenges and Opportunities. in 3D Integrated Circuits. Jan 30, 2009

Ion Implant Part 1. Saroj Kumar Patra, TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU )

Reliability of 3D IC with Via-Middle TSV: Characterization and Modeling

CHAPTER 6: Etching. Chapter 6 1

Development of Lift-off Photoresists with Unique Bottom Profile

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4

MODELING OF T-SHAPED MICROCANTILEVER RESONATORS. Margarita Narducci, Eduard Figueras, Isabel Gràcia, Luis Fonseca, Joaquin Santander, Carles Cané

Chapter 3 Basics Semiconductor Devices and Processing

Lecture 18. New gas detectors Solid state trackers

Reactive Ion Etching (RIE)

ECE520 VLSI Design. Lecture 8: Interconnect Manufacturing and Modeling. Payman Zarkesh-Ha

MICRO-SCALE SHEET RESISTANCE MEASUREMENTS ON ULTRA SHALLOW JUNCTIONS

The goal of this project is to enhance the power density and lowtemperature efficiency of solid oxide fuel cells (SOFC) manufactured by atomic layer

Lithography and Etching

Microfabrication for MEMS: Part I

Resistance Thermometry based Picowatt-Resolution Heat-Flow Calorimeter

Institute for Electron Microscopy and Nanoanalysis Graz Centre for Electron Microscopy

EE C245 ME C218 Introduction to MEMS Design Fall 2007

Supplementary Figure S1. AFM images of GraNRs grown with standard growth process. Each of these pictures show GraNRs prepared independently,

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition

Manufacturable AlGaAs/GaAs HBT Implant Isolation Process Using Doubly Charged Helium

Electrografted insulator layer as copper diffusion barrier for TSV interposers

OPTI510R: Photonics. Khanh Kieu College of Optical Sciences, University of Arizona Meinel building R.626

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

Hybrid Wafer Level Bonding for 3D IC

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Development and Characterization of High Frequency Bulk Mode Resonators

Chapter 3 Engineering Science for Microsystems Design and Fabrication

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

A HYDROGEN SENSITIVE Pd/GaN SCHOTTKY DIODE SENSOR

Chapter 8 Ion Implantation

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Chenming Hu.

A Novel Approach to TSV Metallization based on Electrografted Copper Nucleation Layers. Claudio Truzzi, PhD Chief Technology Officer Alchimer

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Chapter 10. Superconducting Quantum Circuits

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing

Introduction to Plasma

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey.

Chapter 7. Plasma Basics

Supplementary Information. Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction

Semiconductor Disk Laser on Microchannel Cooler

Thermo-structural Model of Stacked Field-programmable Gate Arrays (FPGAs) with Through-silicon Vias (TSVs)

2.76/2.760 Multiscale Systems Design & Manufacturing

Technology Brief 9: Capacitive Sensors

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa

Dielectric Meta-Reflectarray for Broadband Linear Polarization Conversion and Optical Vortex Generation

Etching: Basic Terminology

CHARACTERIZATION AND FIELD EMISSION PROPERTIES OF FIELDS OF NANOTUBES

SEMICONDUCTOR PROCESSING With ONTOS ATMOSPHERIC PLASMA. Eric Schulte, February 2017

Midterm I - Solutions

Transport of Electrons on Liquid Helium across a Tunable Potential Barrier in a Point Contact-like Geometry

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6

Etching Capabilities at Harvard CNS. March 2008

Transcription:

Superconducting Through-Silicon Vias for Quantum Integrated Circuits Mehrnoosh Vahidpour, William O Brien, Jon Tyler Whyland, Joel Angeles, Jayss Marshall, Diego Scarabelli, Genya Crossman, Kamal Yadav, Yuvraj Mohan, Catvu Bui, Vijay Rawat, Russ Renzas, Nagesh Vodrahalli, Andrew Bestwick, Chad Rigetti Rigetti Computing, 775 Heinz Avenue, Berkeley, CA 94710 (Dated: June 20, 2017) We describe a microfabrication process for superconducting through-silicon vias appropriate for use in superconducting qubit quantum processors. With a sloped-wall via geometry, we can use non-conformal metal deposition methods such as electron-beam evaporation and sputtering, which reliably deposit high quality superconducting films. Via superconductivity is validated by demonstrating zero via-to-via resistance below the critical temperature of aluminum. INTRODUCTION Through-silicon vias (TSVs) have been widely used in semiconducting integrated devices in recent years, both as high-performance interconnects to create 3D circuits and to engineer isolation between components on chips [1, 2]. Superconducting qubit circuits, composed of microwave resonant structures that store and manipulate quantum information, will require similar technical solutions as the number of qubits grow. A truly scalable quantum integrated circuit architecture requires both 3D integration and careful engineering of the RF environment in which qubits operate. The advantages of using TSVs in quantum integrated circuits are: Signal delivery. Traditionally, signal delivery in superconducting qubit devices has been in the plane of the circuit through bond pads at the boundary of the chip. However, most proposals for large quantum circuits involve tiled 2D lattices in which interior qubits cannot be accessed from the perimeter [3]. Delivering signals perpendicular to the plane of the 2D circuit is therefore necessary for future scalability. Flip-chip processes using superconducting indium bumps have recently been used to bond a chip with qubits to another chip with readout and control signals demonstrating high qubit coherence (>20 µs) [4 6]. 3D integration using vias, on the other hand, enables delivering signals through the substrate. A simple schematic of such an architecture is shown in Fig. 1 in which high frequency signals travel from an interposer to the back side of the chip, through the vias, onto the top surface, and to the qubits. Isolation. To achieve maximum coherence and minimal crosstalk, the resonant elements of a quantum integrated circuit should ideally be completely isolated from each other and from the environment, except for engineered couplings. This would also eliminate frequencycrowding concerns for large circuits. TSVs can partially accomplish this if arrange so as to surround resonant elements, locally confining electromagnetic modes in the substrate. A conductive cage above the plane of the chip would complete the creation of a 3D enclosure. FIG. 1. An example architecture of a 3D integrated quantum circuit. A chip with a tiled qubit design can be bonded to a printed circuit board on the backside using superconducting ball grid array (BGA). The insertion loss could be quite low for such a setup. Suppressing substrate modes. Dielectric substrates host electromagnetic modes. A thin chip with dimensions x y has a fundamental mode with frequency (c/2π ɛ r ) (π/x) 2 + (π/y) 2, where c is the speed of light in free space and ɛ r is the dielectric constant. For sufficiently large substrates, the mode falls in the operating range of a superconducting qubit device, typically 3-10 GHz, and provides a loss channel for otherwise high-quality resonators. In practice, this places an upper bound on circuit size and complexity one can build on a single chip. However, the presence of a large number of metal TSVs in the substrate enforces boundary conditions on substrate modes, effectively limiting the maximum wavelength to the TSV spacing. This can raise the lowest-lying mode frequency well above 10 GHz and eliminate its dependence on substrate size, allowing for arbitrarily large chips. Enabling coupling between layers. Long-term scaling of quantum integrated circuits will require multi-layer structures. In such a geometry, the precision of the vertical distance between layers is usually set by the fabrication technology, making capacitative coupling between layers difficult to engineer. Rather, a galvanic connection using vias is preferable for delivering signals between different planes, and coupling capacitances could be designed within planes.

TSV MANUFACTURING IN THE SEMICONDUCTOR INDUSTRY The state of the art of TSV manufacturing in the semiconductor industry typically includes the following process steps [2]: Via formation: Deep reactive ion etching or laser drilling to define the via shape. Dielectric barrier deposition: Thermal silicon oxide or nitride, or plasma-enhanced chemical vapor deposition (PECVD) of a thin film to form diffusion barrier between Cu and Si. Adhesion and seed layer deposition: Physical vapor deposition (PVD) of Ti, followed by Cu. Cu electroplating: Fill the via with conductive Cu. Alternative electroplating metals such as W and Sn are also used. Usually, it is followed by an annealing process to release stress. Chemical mechanical polishing (CMP): Removal of Cu overburden. TSV reveal: Mechanical and chemical grinding or polishing (hard reveal) or wet etching (soft reveal) of the wafer to reveal the vias. This process, while manufacturable and widely used for CMOS circuits, could be incompatible with superconducting qubits for three reasons. First, the dielectric liner would be a site of microwave loss. Second, the normal metal fill will introduce ohmic heating and loss, whether due to signals or grounding currents. Third, poorly controlled CMP processes could lead to small levels of contamination and doping in otherwise insulating Si substrates. All three factors would reduce qubit lifetimes. Furthermore, these problems cannot be resolved with simple materials substitutions. There is no wellestablished process for electroplating of superconducting metals such as Al. High-quality superconducting microwave structures are typically fabricated via physical deposition, but such methods deposit metal too slowly and with too much stress to fill deep holes. Even worse, the difference in thermal expansion properties between Si and a metal fill may prohibit cryogenic use of these structures. TSV MANUFACTURING IN QUANTUM INTEGRATED CIRCUITS We propose a process for manufacturing superconducting TSVs which uses a superconducting metal as the via liner, a polymer for partial filling, and avoids the need for CMP by employing a temporary membrane to support the via liner. Support membrane. Unlike industry processes in which thick wafers are etched and later polished to reveal the vias, we etch entirely through the Si wafer during via FIG. 2. Simulated sidewall deposition rate (normalized to the deposition rate onto a perpendicular surface) as a function of sidewall angle and deposition angle. formation. First, however, we deposit a film on the back of the wafer which is highly selective to our silicon etch and therefore acts as stop. In subsequent steps it supports the deposition of liners and fills. At the end of the process it is easily etched away, revealing a pristine Si surface with exposed metal tops of vias, onto which the superconducting circuit can be fabricated. Etch geometry and deposition method. In our process, via walls are etched to be slanted, which allows us to substitute electroplating for more directional deposition methods such as electron-beam evaporation, epitaxy, and sputtering. For a truly directional process, we can easily calculate the coverage on the sidewalls as a function of both via sidewall angle and deposition angle. Fig. 2 shows the ratio of the sidewall deposition rate to the overall deposition rate on a normal surface as a function of the evaporation angle for different sidewall tapers. For evaporation angles smaller than the TSV angle, both sidewalls are coated, while at least a portion of the sidewalls is shadowed when the evaporation angle is larger than the TSV angle. In the former regime, a nonzero deposition angle reduces sidewall deposition. Hence, we primarily deposit onto our sloped walls at an angle normal to the plane of the wafer, with no substrate tilt relative to the deposition source. The choice of the via sidewall angle depends on: 1) the minimum required thickness of the metal on the walls; 2) the maximum possible deposition thickness; and 3) the maximum allowed difference between the via diameter at each end (which depends on the minimum required via density and the maximum acceptable via volume). Based on the above parameters, sidewall angles in the range of 10 to 20 degrees are small enough to allow acceptable difference between the opening on the sides of c Copyright 2017 Rigetti & Co, Inc. 2

the wafers (in our case, 150µm) and at the same time large enough to allow sidewall coverage in the range of 20-30% of the amount deposited on a normal surface. Polymer fill. It is still necessary to fill the via to provide permanent support for the thin metal liner once the membrane is removed. The criteria for the selection of fill materials are: 1) a straightforward deposition process that does not alter the thin membrane and liner film; 2) mechanical strength to provide permanent support to the via metal liner; 3) robustness to downstream chemical processing; 4) cyrogenic compatibility, including thermal expansion properties similar to silicon. The microwave properties of the material are not relevant as long as the superconducting liner is thick enough to completely shield it from the circuit. Thick polymers are one promising class of materials for this purpose, among which Parylene-C was chosen [11]. It has the desired mechanical and chemical properties, is inert to almost all wet process, and has a simple deposition process. Due to its conformal, pinhole-free, and easily controlled deposition process (even for ultra-thin coatings), it is commonly used to protect electronic components and compact packages as well as sensors, LEDs, and MEMS [12]. We have also tested the mechanical and cryogenic properties with no sign of degradation after repeated thermal cycles. FIG. 3. Schematic of our fabrication process for superconducting Al vias. (a) Silicon oxynitride membrane deposition (for etch stop) and thick photoresist patterning of the vias, (b) Via etch, (c) Al electron-beam evaporation. (d) Parylene-C physical vapor deposition, (e) Membrane removal / via reveal, (f) Device side processes. SUPERCONDUCTING TSV PROCESS Here, we describe the process we have developed for fabricating grounded superconducting vias for isolation and for suppressing substrate modes. In this process all the vias are grounded through a blanket metal deposition on the back side side of the wafer. Future use for 3D signal delivery will require additional process steps to pattern the wafer backside. The process steps are as follows (Fig. 3): Starting substrate. For superconducting microwave structures, we use 300 µm-thick high-resistivity (>15 kω cm) silicon wafers. First, we deposit an etch stop for the via etch process. We perform PECVD of a silicon oxynitride SiO x N y film with the thickness of 7-10 µm. The stoichiometry is tuned to minimize stress and wafer bow, reduce stress changes in the membrane upon etch stop removal, and be easily removed using wet etch chemistries. Since the etch is deep, a thick positive photoresist (> 10 µm) is used to pattern the vias on the non-oxynitride side of the wafer. Via formation. The via formation process employs the method described in [7, 10] where a non-bosch process in an SPTS inductively coupled plasma (ICP) tool is used to etch the desired via taper. The continuous plasma etching process uses a mixture of SF 6 /O 2 /Ar gases to etch vias with angles in the range of 10 to 20 degrees. The etch process is performed in two steps, which we refer to FIG. 4. (a) Via main etch process, and (b) actual etch on a bulk test silicon wafer. An overhang is created during the main etch process. (c) Via global isotropic etch after stripping the photoresist, and (d) actual etch on a bulk test silicon wafer. The overhang is removed in this step. as the main via etch and the isotropic via etch. In the main etch, the sloped vias are formed with an overhang as a result of the etch undercut (Fig. 4a-b). Next, in the isotropic etch step, we strip off the photoresist and perform a global etch to remove the overhang (Fig. 4c-d). Approximately 50 µm of the wafer thickness is etched away during the isotropic etch step, making the final wafer thickness 250 µm. Our target angle is 10-20 degrees, and is achieved with SF 6 /O 2 flows of 135/70 sccm and 300/75 sccm for the main and iso etch steps, respectively. Also, since the etch stops at an isolating memc Copyright 2017 Rigetti & Co, Inc. 3

FIG. 5. Cross section of the via after Parylene-C deposition. Note that the epoxy resin is used to fill the vias for crosssectioning and imaging purposes. FIG. 6. Top view of a 50 um diameter via after the reveal. Two concentric circles are shown which are the representative of the main and isotropic etch processes. brane, to avoid footing (which is a common problem for dry silicon etching in ICP processes) we employ a low frequency pulsed power for the platen. Superconducting liner deposition. In this step we coat the via walls with Al using electron-beam evaporation. For the film to effectively shield radiation it should be several times larger than the London penetration depth ( 50 nm). A 2.5 µm deposition guarantees minimum of 250 nm deposition on the sidewalls for angles above 10 degrees, based on the calculations represented in Fig. 2. This blanket deposition coats the walls of the vias as well as the entire backside of the wafer, and grounding all vias together. Via fill. We deposit a 20 µm layer of Parylene-C film in a PVD process. It partially fills the vias on the sidewalls and the bottom, while leaving most of the via volume empty. Fig. 5 shows the cross-section image of the vias after Parylene deposition step. Via reveal. Finally, the oxynitride film is etched using buffered HF acid. The via Al is revealed after this process (see Fig. 6 for a top view). The two concentric circles shown on the revealed side of the via are representative of the main and isotropic etch process, which FIG. 7. 4-point resistance measurement setup (a) side view (b) top view for a via pair. (c) Photograph of the fabricated device for this test. cause different surface roughnesses on the silicon and the oxynitride membrane. This roughness is transferred to the deposited Al film. After the reveal step, the wafer is ready for the quantum circuit fabrication processes. SUPERCONDUCTIVITY MEASUREMENT To verify the superconductivity of the vias, we measured DC resistances between pairs of vias in a dilution refrigerator. Since all vias on a given wafer are connected through the back side, we can measure in a 4-terminal arrangement the voltage drop resulting from a current flowing from the front side, through one via, across the back plane, and returning to the front side through a second via (Fig. 7a-b). To prepare the sample, we patterned contact pads, rec Copyright 2017 Rigetti & Co, Inc. 4

to demonstrate compatibility with superconducting qubit fabrication and measurement, as well as the extra process steps required to use vias to deliver microwave signals. FIG. 8. 4-point via-to-via resistance measurement as a function of temperature. The resistance of the via pair drops to zero at temperatures below 1.2 K, near the expected Al critical temperature. moved the native oxide on the surface of the via Al with a 400 V, 20 ma argon ion mill etch, and deposited in situ a 160 nm Al film using electron-beam evaporation. After liftoff, the test structures appear as in Fig. 7c. We measured the via-to-via resistance with a lock-in amplifier while cooling the sample to subkelvin temperatures (Fig. 8). As expected, the resistance drops to zero below the critical temperature of Al, around 1.2 K. Our instrumentation sets the lower bound of the critical current of this device to be 100 µa. CONCLUSIONS A microfabrication process for superconducting TSV with Al, sloped via walls and Parylene-C as the via fill was developed. The via geometry allows using nonconformal metal deposition methods such as e-beam evaporation. We demonstrated the superconductivity of vias by measuring the zero via pair resistance below the critical temperature of Al. This establishes the viability of the process for making grounded superconducting vias. Future work is required [1] J. P. Gambino, S. A. Adderly, J. U. Knickerbocker, An overview of through-silicon-via technology and manufacturing challenges, Microelectronic Engineering; 135, 73 (2015) [2] Lau, J. H., 2013, Through-Silicon Vias for 3D Integration, McGraw-Hill, New York. [3] A. G. Fowler, M. Mariantoni, J. M. Martinis, and A. N. Cleland, Surface codes: towards practical large-scale quantum computation. Phys. Rev. A 86, 032324 (2012) [4] D. Rosenberg, et. al., 3D integrated superconducting qubits. arxiv:1706.04116 [5] M. Mohseni, et. al., Commercialize quantum technologies in five years, Nature 543, 171, (2017) [6] J. Mutus, et. al., H46.00006: 3D integration of superconducting qubits with bump bonds: Part 1, J. Kelly, et. al., H46.00007: 3D integration of superconducting qubits with bump bonds: Part 2, E. Lucero, et. al., H46.00008: 3D integration of superconducting qubits with bump bonds: Part 3, APS March Meeting 2017 [7] P. Dixit, et. al., Effect of process gases on fabricating tapered through-silicon vias by continuous SF6/O2/Ar plasma etching, ECS Journal of Solid State Science and Technology, 1 (3) 107 (2012) [8] S. Heraud, et. al., Easy to fill sloped vias for interconnects applications improved control of silicon tapered etch profile,electronic Components and Technology Conference, 59th (2009) [9] R. F. Figueroa, et. al., Control of sidewall slope in silicon vias using SF6/O2 plasma etching in a conventional reactive ion etching tool, Journal of Vacuum Science and Technology B 23, 2226 (2005) [10] R. Nagarajan, et. al., Development of a novel deep silicon tapered via etch process for through-silicon interconnection in 3-D integrated systems, Electronic Components and Technology Conference, May 30 - June 2, 2006, San Diego, CA, USA, IEEE, 383 (2006). [11] A Kahouli, Structural and dielectric study of parylene C thin films, Appl. Phys. Lett. 94, 152901 (2009); [12] URL: https://scscoatings.com/parylene-applications/ electronic-coatings/ c Copyright 2017 Rigetti & Co, Inc. 5