Next: 193nm Lithography

Similar documents
EUV Resist-Fundamental Research

Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center

Particle Generation during Photoresist Dissolution

Introduction. Photoresist : Type: Structure:

Effect of PAG Location on Resists for Next Generation Lithographies

Relationships between Stochastic Phenomena and Optical Contrast in Chemically Amplified Resist Process of Extreme Ultraviolet Lithography

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication

Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

More on Stochastics and the Phenomenon of Line-Edge Roughness

Screening of basic resist materials and PAGs for EUV-Lithography

Optimizing RLS. Seiichi Tagawa. The Institute of Scientific and Industrial Research Osaka University and JST-CREST

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media.

Application of Stochastic Modeling to Resist Optimization Problems

Polymer Matrix Effects on EUV Acid Generation

Lecture 8. Photoresists and Non-optical Lithography

RLS Trade-Off: Questions about Molecular Size and Quantum Yield

Single Layer Fluoropolymer Resists for 157 nm Lithography

Electron-beam SAFIER process and its application for magnetic thin-film heads

Composition and Photochemical Mechanisms of Photoresists

Is an EUV Film Quantum Yield of 30 Possible?

A Simple Model of Line-Edge Roughness

Lecture 14 Advanced Photolithography

Study of Deprotection Reaction during Exposure in Chemically Amplified Resists for Lithography Simulation

Development of Lift-off Photoresists with Unique Bottom Profile

Spatial distribution of reaction products in positive tone chemically amplified resists

Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation

EUVL Readiness for High Volume Manufacturing

Exploration of non-chemically amplified resists based on chain-scission mechanism for 193 nm lithography

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

Application of polymers to photoresist materials

Surface roughness development during photoresist dissolution

5. Photochemistry of polymers

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

DUV Positive Photoresists

Seiichi Tagawa, Satoshi Enomoto, Akihiro Oshima

Radiation Chemistry of EUV and EB Resists

Photoresist etch resistance enhancement using novel polycarbocyclic derivatives as additives

Development of Photosensitive Polyimides for LCD with High Aperture Ratio. May 24, 2004

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law

Molecular Glass Resist with Organic Developer

NanoImprint Materials. March, IBM Almaden Research Center

DIFFUSION OF ACID AND ACTIVATION ENERGY OF POSITIVE CHEMICAL AMPLIFICATION RESIST

Line Edge Roughness, part 2

Effects of photoresist polymer molecular weight on line-edge roughness and its metrology probed with Monte Carlo simulations

Top down and bottom up fabrication

Title. CitationChemical physics letters, 657: Issue Date Doc URL. Rights

EFFECT OF NANOSCALE CONFINEMENT ON THE PHYSICAL PROPERTIES OF POLYMER THIN FILMS

Simulation and characterization of surface and line edge roughness in photoresists before and after etching

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists

EUV Reflectometry for Determining the Optical Properties of Photoresists and Underlayer Materials Upon Irradiation at 13.5-nm

Hiroshi Ito and Mark Sherwood. IBMAlmaden Research Center 650 Harry Road San Jose, CA 95120, U S. A ibm. com.

The deprotection reaction front profile in model 193 nm methacrylate-based chemically amplified photoresists

The Journal of American Science, 4(1), 2008, ISSN ,

Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process

Exploration of non-chemically amplified resists based on dissolution inhibitors for 193 nm lithography

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Lithography and Etching

Acid Quantum Efficiency of Anion-bound Chemically Amplified Resists upon Exposure to Extreme Ultraviolet Radiation

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas

Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography

Orthogonal Processing: A New Strategy for Patterning Organic Electronics

Stochastic modeling of photoresist development in two and three dimensions

A Study of the Fundamental Contributions to Line Edge Roughness in a 193 nm, Top Surface Imaging System

Recent progress in nanoparticle photoresist development for EUV lithography

Photolithography II ( Part 1 )

A Study of Resolution Limits Due to Intrinsic Bias in Chemically Amplified Photoresists.

Current Status of Inorganic Nanoparticle Photoresists

Molecular Organometallic Resists for EUV (MORE) October 6, 2013

Chapter 5. Ionic Polymerization. Anionic.

Photoinitiation, Photopolymerization, and Photocuring

Takeo Watanabe Center for EUVL, University of Hyogo

Behavior of candidate organic pellicle materials under 157 nm laser irradiation

Photonics applications 5: photoresists

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

Overview of the main nano-lithography techniques

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Silicon VLSI Technology. Fundamentals, Practice and Modeling

Photolithography 光刻 Part II: Photoresists

Understanding Molecular Level Effects during Post Exposure Processing

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Supporting Information

Direct write electron beam patterning of DNA complex thin films

Supporting Information. for. Angew. Chem. Int. Ed. Z Wiley-VCH 2004

Secondaryionmassspectrometry

MICRO AND NANOPROCESSING TECHNOLOGIES

EE C245 ME C218 Introduction to MEMS Design Fall 2007

Advances in 2D and 3D Patterning for Nanotechnology

A Parameter Extraction Framework for DUV Lithography Simulation

Surface and Interface Characterization of Polymer Films

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking

Enhanced Transmission by Periodic Hole. Arrays in Metal Films

DUV ( nm ) Characterization of Materials: A new instrument, the Purged UV Spectroscopic Ellipsometer,

Novel Molecular Materials Based on Noria and Double Calixarene (Beryllus) for EB and EUV Resist Systems

TRANSPARENT LAYERS OF AZO CONJUGATED POLYMERS: PREPARATION AND OPTO ELECTRICAL PROPERTIES

Transcription:

Lecture 16 Chemical Engineering for Micro/Nano Fabrication Next: 193nm Lithography

Absorption coefficient [1/µm] Absorption of Photoresist Polymers 2.8 Poly-(4-hydroxystyrene) 2.4 Meta-cresol novolak 2.0 ArF 193 nm KrF 248 nm i-line 365 nm 1.6 1.2 0.8 0.4 Polyacrylates (aliphatic) 0.0 175 200 225 250 275 300 325 350 375 400 Wavelength [nm] Source: R.D. Allen et al., IBM J. Res. Develop. 41 (1/2), 95-104 (1997)

Impact of Photoresist Absorbance on Developed Image Profile Exposure Step Final Profile Moderate absorbance High absorbance

Relative Etch Rate Relative Etch Rate of Polymers Aliphatic Aromatic Carbon

The hnishi Number Watanabe, F. and hnishi, Y., J. Vac. Soc. Technol. B,422 (1986)

193 nm Resist Materials Challenge: 248 and 365 nm resists are unsuitable for 193 nm imaging because they are opaque at this wavelenth Etch resistance requires high carbon/hydrogen ratio but aromatics are precluded because of their absorption How do you achieve both 193nm optical transparency and etch resistance?

High C:H Ratio of Alicyclic Hydrocarbons The key! Structure: CH 3 (CH 2 ) n CH 3 Formula: C 6 H 6 C 12 H 16 C 7 H 10 C n H 2n+2 Unsaturation Number: 4 5 3 0

APEX 248nm Resist Design CH CH 2 CH CH 2 tbc H Tethering Function Acid Lability Base Solubility Etch Resistance

UTexas193nm Design [ ] [ ] C C(CH 3 ) 3 C H Tethering Function Acid Lability Etch Resistance Base Solubility

Early Lithography Resist 0.80 0.20 C C + Ø 3 SSbF 6 H C(CH 3 ) 3 poly(nbca-co-cbn) Synthesis requires metal catalyst! Excellent image quality Adhesion failure

Trading Etch Resistance for Adhesion: Alternating Systems: CMA + V601 THF 70C x H 3 C CCH 3 CH 3 V601 N N CCH 3 CH 3 CH 3 Waco Chemical No Metal! Yield : M n : M w : Pd : 60% 4,660 6,860 1.472 Shelf Life issues?

Images in UT 193nm CMA Resist Alternating co-polymer Uzo koroanyanwu, Jeff Byers

Improving Etch Resistance + V601 Dioxane 70C x DNBC UV Absorbance 0.44 mm -1 @ 193 nm Yield : M n : M w : Pd : 55 % 3,400 4,340 1.276 With JSR

Performance Resist and Process Development Basic Chemistry Formulation and Process Development 248nm ptimization I-line 193nm Issues! Time

Fujitsu s Acrylic Platform CH 2 H C C m CH 2 H C C n CH 2 H C C o CH 2 H C p C H Acrylate Copolymers Free radical polymerizations No metal

Acrylic Polymer Platform H F 3 C CF 3 H F 3 C CF 3 Fujitsu IBM,JSR, etc. H CF 3 CF 3

Types of PAGs Used For 193 nm Lithography R R TPS S + R DPI INIC I + VLATILE F 3 C S 3 - S 3 - NN-INIC N S Ar SIT ALS S + R NN-VLATILE AsF 6 - Rf S 3 - N S CF 3 MDT NEALS NAT. Tf CH 3 CH 3 S + S + F 3 C PF 6 - CF 2 CF 2 CF 2 S 3 - nonaflate : too weak for 193 nm S 3 - H S N S CF 3 MBT NIT

193nm Resist Challenges Pattern Collapse Line Edge Roughness (LER) Etch Resistance Heisenberg Principle issue Pattern Collapse New Defect Types m Bridging LER

Line edge Roughness 193 248

Simulation of a PE Bake Blocked sites Unreacted polymer Latent Image Edge Gerard Schmid

Influence of Base on LER Base quencher can decrease the acid sphere of influence in low contrast regions, thereby reducing LER. I(x) Acid Base x No base With base J. E. Meiring, T. B. Michaelson, G. M. Schmid and C. G. Willson, Proc. SPIE, 5753(2005), to be published

Exploring Base Effects To add base quencher seems to make the contrast higher, thereby LER reducing. I(x) x x x 0% base 15% base 30% base 6.61 nm RMS 5.47 nm RMS 3.89 nm RMS J. E. Meiring, T. B. Michaelson, G. M. Schmid and C. G. Willson, Proc. SPIE, 5753(2005), to be published

Typical ArF KrF system always shows dramatic moderate variation of DR dissolution dissolution rate(a/sec) 100000 Comparison of ArF and KrF 10000 1000 100 10 1 0.1 Low contrast value Gradually increasing DR No DR @ Unexposed area 0< DR @ Unexposed area 0.01 1.0 10.0 100.0 No DR changes until this point DSE(mJ/cm2) Moderate Rmax Uniform DR inside film Quite high Rmax Different DR inside film Suddenly the dissolution begins The contrast is very high total total surface surface middle middle bottom bottom

DR Dissolution behavior KrF System H ArF System This difference in the contrast amplifies small variations in the blend region. Digital n/ff switching phenomenon makes the line edge rough.

DR Is there such a thing as too much contrast? The KrF system has lower contrast Small fluctiuations cause small changes in dissolution rate.. The ArF system changes from insoluble to soluble over a very narrow dose range Small fluctuations are amplified and cause huge changes in dissolution rate. Stochastic process noise becomes line edge roughness

Could have been Can it be done again at 157nm??? Perhaps.but This time it would have been really hard!!

Absorption (mm -1 ) of Common Polymers Transmission 0.00001%!!! Wavelength (nm) 157.6 193 248 248 resist 6.84 0.37 193 resist 6.86 0.47 Polystyrene* 6.20 Polynorbornene* 6.10 PMMA* 5.69 Fluorocarbon* 0.70 * R.R.Kunz,et al., Proc. SPIE 3678, 13 (1999). Vacuum UV 2, H 2 absorbs at this wavelength Even hydrocarbons like butane and polyethylene absorb strongly

Fluorination of Norbornane Skeleton F F paque n F F n Transparent? F? 248 nm 193 nm 157 nm How many fluorines and where to fluorinate?

Selective Fluorination of Norbornane F Absorbance (mtorr -1 cm -1 ) F F F F F 150 155 160 165 170 175 180 Wavelength (nm) Geminal substitution at the two carbon bridge is the most effective fluorination pattern -CF 3 acrylates

Surprising Serendipitous Discovery Ni (II) H + CF 3 CF 3 n n CF 3 CF 3 F 3 C F 3 C H A 157 = 2.57 mm -1 A 157 = 1.15 mm -1 NBHFABC and NBHFA are surprisingly transparent T. Chiba, et. al., J. Photopolym. Sci. Technol, 13 (2000) 657-664

Absorbance of Fluorinated Polymers CH 3 Absorbance (mm -1 ) 7 6 5 4 3 2 (6.02 mm -1 ) CH 2 C CMe (5.42 mm -1 ) CF 3 CH 2 C CMe CF 3 CF 3 (2.68 mm -1 ) (2.44 mm -1 ) 1 0 150 160 170 180 190 200 Wavelength (nm) CF 3 CF 3 (1.15 mm -1 ) Hexafluoroisopropyl and -trifluoromethylcarboxylic acid are groups surprisingly transparent! H

Some Imaging Results 100nm 90nm 80nm 60nm 40nm

Performance Resist and Process Development Basic Chemistry 60nm Formulation and Process Development 248nm ptimization I-line 157 nm 193nm We are well off the base line Time

Images in UT 157nm Resists 70nm Intel Announcement Issues include: Pace, Resist, Pellicles, CaF 2, Birefringence, Cost, etc. 85nm 60nm 34