FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

Similar documents
Extending the Era of Moore s Law

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room).

EE130: Integrated Circuit Devices

Lecture #27. The Short Channel Effect (SCE)

Modeling Random Variability of 16nm Bulk FinFETs

Lecture 15 OUTLINE. MOSFET structure & operation (qualitative) Review of electrostatics The (N)MOS capacitor

Lecture 15 OUTLINE. MOSFET structure & operation (qualitative) Review of electrostatics The (N)MOS capacitor

Lecture 0: Introduction

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

ELEN0037 Microelectronic IC Design. Prof. Dr. Michael Kraft

Multiple Gate CMOS and Beyond

Tri-Gate Fully-Depleted CMOS Transistors: Fabrication, Design and Layout

Simple and accurate modeling of the 3D structural variations in FinFETs

There s Plenty of Room at the Bottom and at the Top

EE143 LAB. Professor N Cheung, U.C. Berkeley

nmos IC Design Report Module: EEE 112

CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS

Chapter 2. Design and Fabrication of VLSI Devices

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands

MOS Transistor Properties Review

EECS130 Integrated Circuit Devices

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing

Microelectronics Part 1: Main CMOS circuits design rules

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications

Chapter 3 Basics Semiconductor Devices and Processing

ECE 342 Electronic Circuits. Lecture 6 MOS Transistors

Section 12: Intro to Devices

Section 12: Intro to Devices

ECE 305 Exam 5 SOLUTIONS: Spring 2015 April 17, 2015 Mark Lundstrom Purdue University

Recent Development of FinFET Technology for CMOS Logic and Memory

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. EECS 130 Professor Ali Javey Fall 2006

EE410 vs. Advanced CMOS Structures

MOS Transistors. Prof. Krishna Saraswat. Department of Electrical Engineering Stanford University Stanford, CA

MOSFET: Introduction

Chapter 4 Field-Effect Transistors

Make sure the exam paper has 9 pages (including cover page) + 3 pages of data for reference

Future trends in radiation hard electronics

P. R. Nelson 1 ECE418 - VLSI. Midterm Exam. Solutions

Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters

Extensive reading materials on reserve, including

EE105 Fall 2014 Microelectronic Devices and Circuits. NMOS Transistor Capacitances: Saturation Region

Chapter 5 MOSFET Theory for Submicron Technology

Nanometer Transistors and Their Models. Jan M. Rabaey

Scaling Issues in Planar FET: Dual Gate FET and FinFETs

EECS240 Spring Lecture 21: Matching. Elad Alon Dept. of EECS. V i+ V i-

Comparative studies of Ge and Si p-channel metal oxide semiconductor field-effect-transistors with HfSiON dielectric and TaN metal gate

Lecture 6 PN Junction and MOS Electrostatics(III) Metal-Oxide-Semiconductor Structure

SEMICONDUCTOR MEMORIES

A Multi-Gate CMOS Compact Model BSIMMG

Circuits. L5: Fabrication and Layout -2 ( ) B. Mazhari Dept. of EE, IIT Kanpur. B. Mazhari, IITK. G-Number

A 20 nm gate-length ultra-thin body p-mosfet with silicide source/drain

Faculty Presentation: Novel Technologies

Philips Research apple PHILIPS

Introduction and Background

MOS Transistor Theory

The Future of CMOS. David Pulfrey. CHRONOLOGY of the FET. Lecture Lilienfeld s patent (BG FET) 1965 Commercialization (Fairchild)

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

There's Plenty of Room at the Bottom

An Analytical Model for a Gate-Induced-Drain-Leakage Current in a Buried-Channel PMOSFET

Fig The electron mobility for a-si and poly-si TFT.

Recent Progress and Challenges for Relay Logic Switch Technology

III-V CMOS: What have we learned from HEMTs? J. A. del Alamo, D.-H. Kim 1, T.-W. Kim, D. Jin, and D. A. Antoniadis

Parallel Processing and Circuit Design with Nano-Electro-Mechanical Relays

SECTION: Circle one: Alam Lundstrom. ECE 305 Exam 5 SOLUTIONS: Spring 2016 April 18, 2016 M. A. Alam and M.S. Lundstrom Purdue University

NANO-CMOS DESIGN FOR MANUFACTURABILILTY

Previously. ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems. Today. Variation Types. Fabrication

SINCE MOSFETs are downscaling into nanometer regime,

Impact of Scaling on The Effectiveness of Dynamic Power Reduction Schemes

Semiconductor Memories

Status. Embedded System Design and Synthesis. Power and temperature Definitions. Acoustic phonons. Optic phonons

Nanoimprint Lithography

Tilted ion implantation as a cost-efficient sublithographic

Lecture 11: MOS Transistor

EE 5211 Analog Integrated Circuit Design. Hua Tang Fall 2012

EE382M-14 CMOS Analog Integrated Circuit Design

DIFFUSION - Chapter 7

Chapter 12: Electrical Properties. RA l

The Devices. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

Feature-level Compensation & Control. Process Integration September 15, A UC Discovery Project

Lecture 12: MOS Capacitors, transistors. Context

Lecture 12: MOSFET Devices

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages:

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

EE 330 Lecture 16. MOS Device Modeling p-channel n-channel comparisons Model consistency and relationships CMOS Process Flow

Fig. 1 CMOS Transistor Circuits (a) Inverter Out = NOT In, (b) NOR-gate C = NOT (A or B)

EE-612: Lecture 22: CMOS Process Steps

Molecular Electronics For Fun and Profit(?)

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ABSTRACT I NTRODUCT ION

Lecture 15: Scaling & Economics

Enhanced Mobility CMOS

an introduction to Semiconductor Devices

Reduction of Self-heating effect in LDMOS devices

EEC 116 Lecture #3: CMOS Inverters MOS Scaling. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

Lecture 25. Semiconductor Memories. Issues in Memory

Digital Integrated Circuits A Design Perspective

MOSFET SCALING ECE 663

MSE 310/ECE 340: Electrical Properties of Materials Fall 2014 Department of Materials Science and Engineering Boise State University

Transcription:

1 Seminar Spacer Lithography for Reduced Variability in MOSFET Performance Prof. Tsu-Jae King Liu Electrical Engineering & Computer Sciences Dept. University of California at Berkeley Graduate Student: Ms. Xin Sun

2 Introduction Outline MOSFET scaling Lithography challenges Spacer Lithography Device Simulation Study Summary and Future Work

3 IC Technology Advancement Improvements in IC performance and cost have been enabled by the steady miniaturization of the transistor Investment Transistor Scaling SMIC s Fab 4 (Beijing, China) Photo by L.R. Huang, DigiTimes Better Performance/Cost 100 International Technology Roadmap for Semiconductors Market Growth PITCH YEAR: 2004 2007 2010 2013 2016 HALF-PITCH: 90nm 65nm 45nm 32nm 22nm GATE LENGTH (nm) 10 LOW POWER HIGH PERFORMANCE 1 2000 2005 2010 2015 2020 YEAR

4 Metal-Oxide-Semiconductor Field-Effect Transistor: Desired characteristics: High ON current Low OFF current The Bulk-Si MOSFET Source Substrate GATE LENGTH, L g Gate Drain JUNCTION DEPTH, X j OXIDE THICKNESS, Tox M. Bohr, Intel Developer Forum, September 2004 Current flowing between the SOURCE and DRAIN is controlled by the voltage on the GATE electrode N-channel & P-channel MOSFETs operate in a complementary manner CMOS = Complementary MOS CURRENT V T GATE VOLTAGE

5 V T Roll-Off M. Okuno et al., 2005 IEDM p. 52 V T decreases with L g Effect is exacerbated by high values of V DS Qualitative explanation: The source & drain p-n junctions assist in depleting the Si underneath the gate. The smaller the L g, the greater the percentage of charge balanced by the S/D p-n junctions: V G n+ n+ x j Large L g : S D p depletion region Small L g : S D

6 Sub-Threshold Leakage log I D I ON, low VT I ON, high VT I OFF, low VT S 0 V DD I OFF, high VT V G Leakage current varies exponentially with V T S 60mV/dec at room temperature, due to thermal distribution of carriers within energy bands typically 80-100 mv/dec for a bulk-si MOSFET

7 Parametric Yield smaller L gate High-performance processors TOO SLOW TOO LEAKY are speed-binned Faster chips = more $$$ (These parts have smaller L g ) Leakage is exponentially dependent on V T = f(l g ) Since leakage is now appreciable, parametric yield is being squeezed on both sides Tighter control of L g will be needed with scaling!

8 The Sub-Wavelength Gap

9 Achieving Sub-Wavelength Resolution 250nm 180nm 90nm and below Design OPC PSM Mask 0 180 OPC 0 180 Wafer courtesy M. Rieger (Synopsys, Inc.)

10 Geometrical Regularity for Improved Yield Configurable logic block layout A geometrically regular layout should be used to improve the fidelity of printed sub-wavelength features. All MOSFETs are oriented along the same direction Gate lines are placed at regular spacings L. Pillegi et al., 2003 DAC p. 782

11 Mask Cost Considerations Mask cost escalates with technology advancement! <λ (minimum half-pitch) It will eventually be more cost effective to use multiple lower-cost masks to define the most critical layer (gate)

12 Outline Introduction Spacer Lithography Process flow Application to gate patterning Device Simulation Study Summary and Future Work

13 Spacer Lithography Process 1. Deposit & pattern sacrificial layer 2. Deposit mask layer (e.g. Si 3 N 4 ) a-si hard mask (SiO 2 ) poly-si gate layer gate dielectric Si a-si hard mask (SiO 2 ) poly-si gate layer gate dielectric Si 3. Anisotropically etch mask layer spacers a-si hard mask (SiO 2 ) poly-si gate layer gate dielectric 4. Remove sacrificial material; Etch hardmask and poly-si L g,min gates gate dielectric Si Si Note that pitch is 2 that of patterned layer!

14 High-Density Feature Formation Photo-lithographically defined sacrificial structures 1st Spacers 2nd Spacers 3rd Spacers 2 n lines after n iterations of spacer lithography!

15 Spacer vs. Resist Lithography Spacer lithography yields superior CD uniformity Y.-K. Choi et al., IEEE Trans. Electron Devices, Vol. 49, p. 436, 2002

16 Gate Patterning using Spacer Lithography 1. Define fine-line features in a hard-mask layer using spacer lithography regular geometry (lines and spaces) L g < λ ; pitch P λ 2. Pattern fine-line features (to remove hard-mask where gate lines are not desired) minimum feature size > P alignment tolerance = P L g 3. Define large features in a resist layer using photolithography minimum feature size P alignment tolerance >L g

17 Spacer Gate Patterning Benefits Provides fine-line gate electrodes oriented in parallel and laid out on a regular grid Minimizes feature variations for improved yield Facilitates RET to achieve smallest possible feature sizes tight control of L g high parametric yield Note that the geometrically regular mask (Step 1) can be used for multiple chip designs, to save cost

18 Achieving Uniform Gate Length L g Gate formation by spacer lithography uniform L g L g Fin formation by conventional lithography non-uniform L g Y.-K. Choi et al., IEDM Technical Digest, pp. 259-262, 2002

19 Outline Introduction Spacer Lithography Device Simulation Study Approach Initial results Summary and Future Work

20 Approach Use 3-D device simulations (Sentaurus Device) to investigate the benefits of spacer gate lithography nominal L g < 40nm Sources of variation include: L g variations line-edge roughness (LER) statistical dopant fluctuations (SDF)

21 EUV Resist LER Data from AMD Average CD = 37.9nm Standard Deviation = 1.7nm Filtered LWR (nm) 42 40 38 36 34 32 0 200 400 600 800 1000 Line Position (nm)

22 Impact of S/D Implant Anneal Conditions RTA: 1000 C 10s Spike: 1100 C 1s Flash: 1300 C 1ms S/D ext. implant: 3E14 As + cm -2 @ 3keV Trend toward diffusion-less anneal increased junction roughness

23 Device Simulation: Methodology LER Generation Structure Generation Device Simulation W channel = 50nm L g = 37nm X j = 20.4nm T ox = 1.2nm N body = 2.2E18cm -3 Assume S/D junction follows LER profile. Sentaurus 3D Device simulation Collect statistical distributions of I ON and I OFF

24 Simulated MOSFET Structures Resist Lithography Spacer Lithography Plan View (gate electrode) Isometric View Plan View (gate electrode) Isometric View

25 Initial Results 0.05 I OFF (ua/um) 0.04 0.03 0.02 Conventional Spacer Litho. Smaller spread in I OFF vs. I ON is seen for spacer gate lithography 0.01 1.00 1.02 1.04 1.06 1.08 1.10 I ON (ma/um)

26 Introduction Outline Spacer Lithography Device Simulation Study Summary and Future Work

27 Summary Tighter control of L g will be needed with transistor scaling; however, this becomes more difficult as the sub-wavelength gap increases Spacer lithography provides for better CD control, and will eventually be a more cost-effective approach than conventional resist lithography for patterning gate electrodes LER effects on MOSFET performance can be mitigated by spacer gate lithography Future Work Assess the relative impacts of various sources of variability (line-width variations, LER, SDF)