MATHEMATICS OF DOPING PROFILES. C(x,t) t. = D 2 C(x,t) x 2. 4Dt dx '

Similar documents
Properties of Error Function erf(z) And Complementary Error Function erfc(z)

Dopant Diffusion. (1) Predeposition dopant gas. (2) Drive-in Turn off dopant gas. dose control. Doped Si region

Dopant Diffusion Sources

Section 7: Diffusion. Jaeger Chapter 4. EE143 Ali Javey

DIFFUSION - Chapter 7

Make sure the exam paper has 7 pages (including cover page) + 3 pages of data for reference

Diffusion and Ion implantation Reference: Chapter 4 Jaeger or Chapter 3 Ruska N & P Dopants determine the resistivity of material Note N lower

Make sure the exam paper has 9 pages (including cover page) + 3 pages of data for reference

Quiz #1 Practice Problem Set

Make sure the exam paper has 8 pages plus an appendix page at the end.

September 21, 2005, Wednesday

Semiconductors Reference: Chapter 4 Jaeger or Chapter 3 Ruska Recall what determines conductor, insulator and semiconductor Plot the electron energy

ION IMPLANTATION - Chapter 8 Basic Concepts

Xing Sheng, 微纳光电子材料与器件工艺原理. Doping 掺杂. Xing Sheng 盛兴. Department of Electronic Engineering Tsinghua University

Ion Implantation ECE723

Lecture 1. OUTLINE Basic Semiconductor Physics. Reading: Chapter 2.1. Semiconductors Intrinsic (undoped) silicon Doping Carrier concentrations

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey.

EE-612: Lecture 22: CMOS Process Steps

EE 212 FALL ION IMPLANTATION - Chapter 8 Basic Concepts

Changing the Dopant Concentration. Diffusion Doping Ion Implantation

Diffusion. Diffusion = the spontaneous intermingling of the particles of two or more substances as a result of random thermal motion

Introduction to Semiconductor Physics. Prof.P. Ravindran, Department of Physics, Central University of Tamil Nadu, India

Review of Semiconductor Fundamentals

Accelerated ions. ion doping

Section 12: Intro to Devices

Carriers Concentration, Current & Hall Effect in Semiconductors. Prof.P. Ravindran, Department of Physics, Central University of Tamil Nadu, India

Section 6: Ion Implantation. Jaeger Chapter 5

Chapter 3 Engineering Science for Microsystems Design and Fabrication

Ion Implant Part 1. Saroj Kumar Patra, TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU )

Charge Carriers in Semiconductor

Dopant and Self-Diffusion in Semiconductors: A Tutorial

EE143 Fall 2016 Microfabrication Technologies. Lecture 7: Ion Implantation Reading: Jaeger Chapter 5

Solid State Physics SEMICONDUCTORS - IV. Lecture 25. A.H. Harker. Physics and Astronomy UCL

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages:

Lecture 8 - Carrier Drift and Diffusion (cont.) September 21, 2001

EE143 LAB. Professor N Cheung, U.C. Berkeley

Modelling for Formation of Source/Drain Region by Ion Implantation and Diffusion Process for MOSFET Device

EE 143 Microfabrication Technology Spring 2010

Intrinsic Semiconductors

3. Consider a semiconductor. The concentration of electrons, n, in the conduction band is given by

Carrier transport: Drift and Diffusion

Diffusion of a density in a static fluid

Introduction to Heat and Mass Transfer. Week 8

Electrical Resistance

For the following statements, mark ( ) for true statement and (X) for wrong statement and correct it.

Lecture 5. Ion Implantation. Reading: Chapter 5

Jim Lambers ENERGY 281 Spring Quarter Lecture 3 Notes

Ionization Detectors. Mostly Gaseous Detectors

EE 143 Microfabrication Technology Fall 2014

Electrical Characteristics of MOS Devices

Spring Semester 2012 Final Exam

Physics Sep Example A Spin System

Lecture 04 Review of MOSFET

529 Lecture #8 of 18

Introduction To Materials Science FOR ENGINEERS, Ch. 5. Diffusion. MSE 201 Callister Chapter 5

Chapter 2. Electronics I - Semiconductors

ECE 416/516 IC Technologies

collisions of electrons. In semiconductor, in certain temperature ranges the conductivity increases rapidly by increasing temperature

n i exp E g 2kT lnn i E g 2kT

Semiconductor Device Physics

Lecture 4 - PN Junction and MOS Electrostatics (I) Semiconductor Electrostatics in Thermal Equilibrium September 20, 2005

A semiconductor is an almost insulating material, in which by contamination (doping) positive or negative charge carriers can be introduced.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Chenming Hu.

Lab 3. Ion Implantation

Lecture 7 - Carrier Drift and Diffusion (cont.) February 20, Non-uniformly doped semiconductor in thermal equilibrium

Lecture 20: Semiconductor Structures Kittel Ch 17, p , extra material in the class notes

Hall effect in germanium

Thermal Oxidation of Si

Ch/ChE 140a Problem Set #3 2007/2008 SHOW ALL OF YOUR WORK! (190 Points Total) Due Thursday, February 28 th, 2008

Lecture 3 Semiconductor Physics (II) Carrier Transport

Lecture 15: Optoelectronic devices: Introduction

Contact resistance and TLM measurements

Chapter 2. The Well. Cross Sections Patterning Design Rules Resistance PN Junction Diffusion Capacitance. Baker Ch. 2 The Well. Introduction to VLSI

Carrier Action: Motion, Recombination and Generation. What happens after we figure out how many electrons and holes are in the semiconductor?

Course overview. Me: Dr Luke Wilson. The course: Physics and applications of semiconductors. Office: E17 open door policy

By Mir Mohammed Abbas II PCMB 'A' CHAPTER FORMULAS & NOTES. 1. Current through a given area of a conductor is the net charge passing

Electric Field--Definition. Brownian motion and drift velocity

Lab1. Resolution and Throughput of Ion Beam Lithography.

n N D n p = n i p N A

Fokker-Planck Equation with Detailed Balance

( 7) ( 9) ( 8) Applying Thermo: an Example of Kinetics - Diffusion. Applying Thermo: an Example of Kinetics - Diffusion. dw = F dr = dr (6) r

Electronic Circuits for Mechatronics ELCT 609 Lecture 2: PN Junctions (1)

Lecture 2. Semiconductor Physics. Sunday 4/10/2015 Semiconductor Physics 1-1

6.012 Electronic Devices and Circuits

Junction Diodes. Tim Sumner, Imperial College, Rm: 1009, x /18/2006

Carriers Concentration and Current in Semiconductors

Conceptual Model of Diffusion

Fig The electron mobility for a-si and poly-si TFT.

Fundamentals of Semiconductor Physics

Introduction to Semiconductor Devices

The 5 basic equations of semiconductor device physics: We will in general be faced with finding 5 quantities:

SIMULATION OF III-V DEVICES SEMI-INSULATING MATERIALS CENTRE NATIONAL D'ETUDES DES TELECOMMUNICATIONS LANNION - FRANCE

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Classification of Solids

Carrier Mobility and Hall Effect. Prof.P. Ravindran, Department of Physics, Central University of Tamil Nadu, India

PHYS208 P-N Junction. Olav Torheim. May 30, 2007

Lecture 4 - PN Junction and MOS Electrostatics (I) Semiconductor Electrostatics in Thermal Equilibrium. February 13, 2003

ρ ρ LED access resistances d A W d s n s p p p W the output window size p-layer d p series access resistance d n n-layer series access resistance

PHYS208 p-n junction. January 15, 2010

Midterm I - Solutions

Transcription:

EE43 MATHEMATICS OF DOPING PROFILES N. Cheung The diffusion equation with constant D : has the general solution: C(x,t) = C(x,t) = D 2 C(x,t) 4πDt F(x ' ) e -(x-x' ) 2 4Dt dx ' - where F(x') is the C(x,t) profile at t= A. Predeposition: (constant source diffusion) x () C(x,t)=C o erfc( 2 Dt ) (2) Dopant dose incorporated Q = C( x,t ) dx = 2C o Dt π * The dose incorporated depends on the square-root of predep time (3) Surface concentration C o = C (,t) = solid solubility of dopant in Si for all times. * The surface concentration is independent of predeposition time. Use Fig. 4.6 of Jaeger for the C o values. (4) Concentration gradient C(x,t) x B. Drive-in (limited source diffusion) = -C o πdt exp [-x2 /4Dt] Initial condition: At t=, we approximate the initial distribution as a delta function of area Q located at x=. This approximation applies to diffusion predeposition or ion implantation with small R p. () C(x,t)= Q πdt exp [ -x2 /4Dt] for x> "half-gaussian" (2) Q(t) = C( x,t ) dx = Q (at t=) for all times * During drive-in, the dopant dose is conserved. Q (3) Surface concentration C (,t) = πdt * During drive-in, the surface concentration decreases with time. (4)Concentration gradient C(x,t) -x = Dt Q πdt exp [ -x2 /4Dt ] C. Ion Implantation

() Gaussian Approximation :C(x) = N p exp [ - ( x-r p )2 2 ( R p ) 2 ] "full gaussian" (2) Incorporated dose φ = C(x)dx - C(x)dx = N p [ 2πδR p ] if R p is > 3δR p (3) Peak concentration N p = φ.4φ 2π R R p p (4) If R p is sufficiently deep that the implant profile is close to a full gaussian, additional drive-in step for time t will just create a gaussian with larger straggle: C(x,t) = [ + N p - (x - R p ) 2 4Dt exp [ 2( R p ) 2 ]/2 2( R p ) 2 + 4Dt ] [Note: For long drive-in times, the left-side of the full gaussian piles up at the surface and the above equation is not valid. We can see in the limit that 2 Dt >>R p, C(x,t) develops into a half gaussian with: C(x,t)= φ πdt exp [ -x2 /4Dt] for x> (5) R p close to surface The exact solutions with C = at x = (.i.e. no dopant loss x through surface) can be constructed by adding another full gaussian placed at -R p [Method of Images]. C(x, t) = (x - R p ) 2 (x + R φ )2 p 2π ( R 2 [e- 2 ( R 2 p + 2Dt) p + + e- 2 ( R 2 p + 2Dt) ] 2Dt)/2 We can see that for (Dt) /2 >> R p and R p, C(x,t) φ e - x2 /4Dt (πdt) /2 ( the half-gaussian drive-in solution) D. Common Dopant Diffusion Constants 2

Diffusion constants of dopants in Si reported in the literature are not consistent, probably due to variability of the processing conditions. High concentration diffusion effects (discussed later) also complicates the picture. Unless specified, we will use the following concentration independent diffusion constants for calculations: D = D o exp[- E a /kt ] D o = Pre-exponent constant E a = Activation Energy in ev k = Boltzmann Constant = 8.62-5 ev /K Use Table 4. of Jaeger for the D o and E a values. Dopant D o (cm2/sec) E a (ev) B.5 3.69 P.5 3.69 As.32 3.56 E. Multiple Drive-in steps (Dt) effective = (Dt) +(Dt) 2 + (Dt) 3... will be used in the half-gaussian solution Proof: The diffusion equation can be written as : C = D(t) 2 C t Let β (t) D(t )dt D(t) = β Using C = C β β The diffusion equation becomes: C β β = β 2 C or C β = 2 C The diffusion equation becomes: C β β = β 2 C or C β = 2 C C When we compare that to a standard diffusion equation with D being time-independent: (Dt) = 2 C, we can see that replacing the (Dt) product in the standard solution by β will also satisfy the time-dependent D diffusion equation. [Note} The sum of Dt products is sometimes referred to as the thermal budget of the process. For small dimension IC devices, dopant redistribution has to be minimized and we need low thermal budget processes. 3

F. Two-Dimensional Drive-in Diffusion Profile (Constant D) (a) Line Diffusion Source Consider a line diffusion source with s atoms/cm at r =, the diffusion equation in cylindrical coordinates is: D 2 C r 2 + D C r r = C The 2-dimensional diffusion profile is equal to: s C(r, t) = 2πDt e-r2 /4Dt [Note: r 2 = x 2 +y 2 in rectangular co-ordinates] (b) Semi-infinite plane Diffusion Source For a half-plane of diffusion source at x=, y < (with surface concentration of Q atoms/cm 2, the diffusion profile in x-y coordinates is simply the superposition of all line sources located at (,y ) from y < : Semi-infinite source with Q atoms/cm2 diffusion mask y C(x,y,t) = K e - (x2 + (y-y ) 2 )/4Dt dy C(x,y,t) where K is a normalization factor. x Let z= y-y 2 Dt, dz= -dy 2 Dt y/2 Dt C(x,y,t) = K 2 Dt e -x2 /4Dt e -z 2 dz - = K πdt e -x2 /4Dt y [ + erf ( 2 Dt ) ] To find K, we note that for y + (far from the masking edge), C(x,y,t) one-dimensional case). So K = Q / 2πDt Q πdt e -x2 /4Dt (i.e., the Therefore C(x,y,t) = Q 2 πdt e -x2 /4Dt y [ + erf ( 2 Dt ) ] We will expect less dopants under the diffusion,mask region since there is no supply of dopant from the surface. 4

G. Interpretation of the Irvin s Curves The purpose of generating the Irvin s curves is establish the explicit relationship between the four parameters : N o (surface concentration), x j (junction depth), background concentration (N b ), and the R S (sheet resistance), if the profile is known to be either an erfc function (predepositoion) or a half-gaussian function (drive-in). Once any three parameters are known, the fourth one can be determined. The implicit relationship used to generate the curves is the dependence of the majority carrier mobility on the total dopant concentration (assumed to be universal for dopants in Si). Keep in mind there are only two parameters which will uniquely determine the erfc or halfgaussian doping profiles : N o and Dt. Given N o, N B, and x j, Dt can be solved for either of these two profiles. Since the depth dependence of the dopant concentration is known, the sheet resistance is simply an integral quantity of the (net concentration mobility ) product : R S = ρ / x j = x j q [ N(x) - N B ] µ(x) dx For IC process monitoring, x j and R S can easily be measured in the laboratory, not Dt. The Irvin s curves are arranged in such a way ( i.e., N o versus R S x j ) such that N o can be determined once R S, x j and N B are known. Alternatively, if N o can be measured ( with Hall Effect or Secondary Mass Ion Spectrometry), x j can also be inferred. 5

SOME PROPERTIES OF THE ERROR FUNCTION z erf (z) = 2 π e -y2 dy erfc (z) - erf (z) erf () = erf( ) = erf(- ) = - erf (z) 2π z for z << erfc (z) e -z2 π z for z >> d erf(z) dz = - d erfc(z) dz = 2π e-z2 z erfc(y)dy = z erfc(z) + π (-e-z2 ) erfc(z)dz = π The value of erf(z) can be found in mathematical tables, as build-in functions in calculators and spread sheets. If you have a programmable calculator, you may find the following approximation useful (it is accurate to part in 7 ): erf(z) = - (a T + a 2 T 2 +a 3 T 3 +a 4 T 4 +a 5 T 5 ) e -z2 where T = +P z and P =.32759 a =.254829592 a 2 = -.284496736 a 3 =.424374 a 4 = -.4535227 a 5 =.645429... exp(-z^2). erfc(z)....2.4.6.8.2.4.6.8 2 2.2 2.4 2.6 2.8 3 3.2 3.4 3.6 z Another less-accurate but simpler approximation is erf(z) [ - e - 4z2 π ] /2. This approximation has error less than %. For calculations involving the difference of two erf functions, the % accuracy may not be adequate. 6