EUV Resist-Fundamental Research

Similar documents
Radiation Chemistry of EUV and EB Resists

Optimizing RLS. Seiichi Tagawa. The Institute of Scientific and Industrial Research Osaka University and JST-CREST

Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems

Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa

Relationships between Stochastic Phenomena and Optical Contrast in Chemically Amplified Resist Process of Extreme Ultraviolet Lithography

Acid Quantum Efficiency of Anion-bound Chemically Amplified Resists upon Exposure to Extreme Ultraviolet Radiation

More on Stochastics and the Phenomenon of Line-Edge Roughness

Application of Stochastic Modeling to Resist Optimization Problems

RLS Trade-Off: Questions about Molecular Size and Quantum Yield

Is an EUV Film Quantum Yield of 30 Possible?

Next: 193nm Lithography

Measurement of the role of secondary electrons in EUV resist exposures

Simulation and characterization of surface and line edge roughness in photoresists before and after etching

Line Edge Roughness, part 2

Study of Shot Noise in EUV Resists through EUV. and E-Beam Comparative LER Analysis

A Simple Model of Line-Edge Roughness

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Takeo Watanabe Center for EUVL, University of Hyogo

Electron-beam SAFIER process and its application for magnetic thin-film heads

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking

Particle Generation during Photoresist Dissolution

Polymer Matrix Effects on EUV Acid Generation

Chapter 2 Chemistry of High-Energy Charged Particles: Radiations and Polymers

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Photolithography II ( Part 1 )

Title. CitationChemical physics letters, 657: Issue Date Doc URL. Rights

Current Status of Inorganic Nanoparticle Photoresists

Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008

Understanding electron energy loss mechanisms in EUV resists using EELS and first-principles calculations

Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation

Study of Deprotection Reaction during Exposure in Chemically Amplified Resists for Lithography Simulation

Chapter V: Interactions of neutrons with matter

EUV Reflectometry for Determining the Optical Properties of Photoresists and Underlayer Materials Upon Irradiation at 13.5-nm

M oore s law indicates that the number of transistors in integrated circuits should double about every two

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

Neutral particle behavior in divertor simulator MAP-II

Modeling and Simulation of Line Edge Roughness for EUV Resists

Dry thermal development of negative electron beam resist polystyrene

Development of Lift-off Photoresists with Unique Bottom Profile

Radionuclide Imaging MII Detection of Nuclear Emission

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Stochastic modeling of photoresist development in two and three dimensions

Ionization Detectors

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law

Screening of basic resist materials and PAGs for EUV-Lithography

doi: /PhysRevLett

w w w. o n e r a. f r

arxiv: v1 [astro-ph] 30 Jul 2008

Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography

Quantum Dots and Colors Worksheet Answers

Modelling of JT-60U Detached Divertor Plasma using SONIC code

MICRO AND NANOPROCESSING TECHNOLOGIES

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

EUVL Readiness for High Volume Manufacturing

PHYSICS OF THE SPACE ENVIRONMENT

X-Rays, Electrons and Lithography: Fundamental Processes in Molecular Radiation Chemistry

Radioactivity and Ionizing Radiation

Molecular Organometallic Resists for EUV (MORE) October 6, 2013

Laser matter interaction

ELEMENTARY RADIATION CHEMISTRY

Laser Dissociation of Protonated PAHs

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Recent progress in nanoparticle photoresist development for EUV lithography

Nuclear Physics and Astrophysics

Seiichi Tagawa, Satoshi Enomoto, Akihiro Oshima

1 P a g e h t t p s : / / w w w. c i e n o t e s. c o m / Physics (A-level)

3. Gas Detectors General introduction

MASSACHUSETTS INSTITUTE OF TECHNOLOGY 6.781/2.391J TAKE-HOME FINAL ASSIGNMENT, Handed out Thursday, April 27, 2006

Proportional Counters

Effects of photoresist polymer molecular weight on line-edge roughness and its metrology probed with Monte Carlo simulations

Direct write electron beam patterning of DNA complex thin films

Chapiter VII: Ionization chamber

QsT/ Recently, chemically amplified EUV photoresists have been developed which

General Overview of Gas Filled Detectors

MICRODISCHARGES AS SOURCES OF PHOTONS, RADICALS AND THRUST*

Lecture 18 - Photon Dominated Regions

Chapter 4 Scintillation Detectors

Topics ASTR 3730: Fall 2003

I. 16. Coloration of Polyethylene Terephthalate (PET) Film by 3MeV Proton Beams

Multiple Exciton Generation in Quantum Dots. James Rogers Materials 265 Professor Ram Seshadri

American Journal of Nanoscience and Nanotechnology

LASER. Light Amplification by Stimulated Emission of Radiation

The Franck-Hertz Experiment David Ward The College of Charleston Phys 370/Experimental Physics Spring 1997

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Chapter 3 Gas Filled Detectors

Comprehensive model of electron energy deposition*

Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication

Process-Simulation-Flow And Metrology of VLSI Layout Fine- Features

The Monte Carlo Simulation of Secondary Electrons Excitation in the Resist PMMA

PHYS 3446 Lecture #12

Modeling of Environmentally Friendly Siloxane- Based Lithography Solvents

Development of Gamma-ray Monitor using CdZnTe Semiconductor Detector

Explanation of Light/Dark Superposition Failure in CIGS Solar Cells

Lecture # 3. Muhammad Irfan Asghar National Centre for Physics. First School on LHC physics

Development of a Radiation Hard CMOS Monolithic Pixel Sensor

Energetic particles and their detection in situ (particle detectors) Part II. George Gloeckler

Chapter 30 X Rays GOALS. When you have mastered the material in this chapter, you will be able to:

Transcription:

EUV Resist-Fundamental Research Akinori Saeki, Hiroki Yamamoto, Takahiro Kozawa, and Seiichi Tagawa The Institute of Scientific and Industrial Research, Osaka University CREST, Japan Science and Technology Agency, c/o Osaka University tagawa@sanken.osaka-u.ac.jp SEMATECH/ISMI Symposium Japan 2009 September 17, Hyatt Regency Tokyo

Contents Current Status of EUV Resists 1. Origin, meaning and solution of RLS trade-off problem 2. 22 nm half-pitch target Future Prospect of EUV Resists Pattern formation mechanism and simulation of EUV resists Maximization of the chemical gradient at the image boundary Four topics 1. Difference between EUV and EB Resists 2. Shot noise of chemically amplified resists 3. Difference between Molecular and Polymer Resists 4. New types of resists

Current Status of EUV Resists (1) The Origin of RLS Problem CARs with High Concentration of Quenchers From the early stage of the industrial use of chemically amplified resists, amines have been contained in CARs for measures against socalled post-exposure delay effects due to surface contamination from airborne amines. Recently very high concentrations of amines are required for getting high resolution CARs. High concentration of amines improves resolution and LER, but sensitivity decreases and trade-off among resolution, line edge roughness and sensitivity ( so-called RLS tradeoff) was induced.

Current Status of EUV Resists (2) RLS Trade-off Problem and Solution Trade-off relation among resolution, LER and Sensitivity (RLS Trade-off) was observed experimentally and simulated later under some asumption. Experiments: Brainard et al., Proc. SPIE (2004), Pawloski et al., Proc. SPIE (2004), Wallow et al., Proc. SPIE (2008) and more. Simulations(EUV reaction mechanisms were neglected): G.M. Gallatin, Proc. SPIE (2005), D. Van Steenwinckel et al., Proc. SPIE (2007), R.L. Bristol, Proc. SPIE (2007) Is the relation specific? No or Yes No fundamental differences exist among ArF, EB and EUV resists once latent acid image formation.but acid formation process doesn t obey the relation. Acid formation mechanisms are essential in solving the trade-off problem. Tagawa, 1st European Workshop on Resist Limitations, Erlangen, 14 December, (2004), Kozawa et al., J. Vac. Sci. Technol. B23 (2005) 2716. Kozawa and Tagawa, J. Appl. Phys. 99 (2006) 054509, Tagawa, MNC Plenary Lecture (2007), Saeki, kozawa, Tagawa, Appl. Phys. Express 2(2009)075006 LER Sensitivity Resolution Recent research on mechanisms of EUV CARs clearly shows how to solve RLS trade-off in EUV resists.

Current Status of EUV Resists (3) New Targets after RLS Trade-off Problem Resolution and sensitivity are getting close to the requirements. LER is still far from the requirement. The reduction of LER is the most serious problem in EUV resist development. Sensitivity is still very important problem, because the sensitivity of resists and the intensity of exposure dose are complementary. Higher sensitivity resist makes possible to use smaller light source and to decrease in operation costs.

Current Status of EUV Resists (4)

Future Prospect of EUV Resists Pattern formation mechanism and simulation of EUV resists The increase in pattern formation efficiency (chemical gradient) at the image boundary Four topics 1. Difference between EUV and EB Resists 2. Shot noise of chemically amplified resists 3. Difference between Molecular and Polymer Resists 4. New types of resists

Pattern Formation Mechanisms of EUV Resists (1) Exposure (Tool) Resist pattern formation processes Interaction of radiation (photon, electron etc.) with materials Acid generation Accumulated energy profile Latent acid image Processes depend on exposure tool Acid diffusion,deprotection reaction Acid catalyzed image (Latent image after PEB) Development Other Treatments: Rinse, Vapor smoothing, Hardbake, Ectch, Ozonation, etc. The improvement at each stage is required cloth to its physical and chemical limit. The integration of improvement at each stage without interference is strongly needed for steady progress in the development of next generation EUV resists. Pattern Formation Mechanisms are important and essential in escaping interference.

Resist Comparison of Energy Absorption and Reaction Mechanisms among KrF, ArF, EB and EUV CARs Energy Absorption Mechanisms Energy absorption process Main energy absorber KrF,ArF Lambert s law Acid generator EB Pattern Formation Mechanisms of EUV Resists (3) Bethe equation Energy absorption is proportinal to electron density di( z) dz = αi ( z) Mainly Polymer EUV Lambert s law Mainly Polymer di( z) = αi ( z) dz S. Tagawa, MNC2007 Plenary Lecture Resist KrF,ArF EB EUV Reaction Mechanisms Main Initial reactions of acid generation Excitation of acid generators Mainly isolated spur reaction 1. Ionization of polymers 2. Dissociative electron attachment of acid generators 3. Geminate recombination of protons and anions Mainly multi-spur reactions 1. Ionization of polymers 2. Dissociative electron attachment of acid generators 3. Geminate recombination of protons and anions

Pattern Formation Mechanisms of EUV Resists (5) RLS Trade-off Relation Simulated by Monte Carlo and Dissolution Simulations (a) (b) (c) z y x (d) (e) (f) Fig. 1. Snapshots of the performed Monte Carlo and dissolution simulations. (a, d) Initial spatial distribution of protons (green) and counter anion (red) produced by EB exposure. (b, e) Latent images after PEB colorized by residence time t (small t: blue, large t: red. see text for the detail. A front side of the latent image is cut to visualize the inside of the latent image). (c, f) Positivetype line pattern after development. The pictures of (a) (c) and (d) (f) correspond to the exposed line width of 5 and 10 nm, respectively. The exposure dose and development time were 50 mc/cm 2 and 60 s, respectively. The axes x, y, and z in the left side of (a) represent the directions of exposed line width, line length, and film thickness, respectively. The black wire box is a 30 100 100 nm 3 cuboid. L Minimum LER 3σ [nm] (a) 12 8 4 0 20 R40 Line width [nm] 60 L 1 S10 100 Exposure dose [μc/cm 2 ] Minimum LER 3σ [nm] (b) 12 8 4 0 20 R40 Line width [nm] A. Saeki, T. Kozawa, and S. Tagawa, Appl. Phys. Express 2 (2009) 075006. 60 1 10 S 100 Exposure dose [μc/cm 2 ] Fig. 2. RLS relationship of developed line pattern at optimized PEB and development time. The exposed line widths of (a) and (b) are 30 and 10 nm, respectively. The area enclosed by white dotted lines represents the failure in development. The trade-off RLS relationship is successfully reproduced under the optimized condition of PEB and development time.

(a) Minimum LER : 3σ [nm] 8 7 6 5 4 3 2 1 0 Pattern Formation Mechanisms of EUV Resists (6) Line edge roughness after development studied by Monte Carlo and dissolution siumulation 20 s 60 s 120 s Development time 0 20 40 60 80 100 Exposure dose [μc/cm 2 ] (b) Minimum LER : 3σ [nm] 12 10 8 6 4 2 0 Increase in line width 0 nm 10 nm 20 nm 30 nm 0 20 40 60 80 100 Exposure dose [μc/cm 2 ] Exposure dose dependence of minimum LER (3σ) for different (a) development times and (b) increases in line width. Resist thickness:100 nm, 75 kev EB,100μC/cm2: EUV, 20 mj/cm 2 (from calculated) (25 mj/cm 2 from experiment) In one of the ideal case, 1.2 nm LER (high freq.) is possible. A. Saeki, T. Kozawa, S. Tagawa, H. B. Cao, H. Deng, M. J. Leeson, Nanotechnology, 19(2007)15705

Minimum LER dependence on exposure dose. Minimum LER 3σ [ nm] 14 12 10 8 6 4 2 0 (a) LW=20 nm 30 nm, β=0.084 5 nm, β=0.61 10 nm, β=0.48 14 12 10 8 6 4 2 0 0 20 40 60 80 100 (b) LW=30 nm 30 nm, β=0.31 5 nm, β=0.47 10 nm, β=0.53 0 20 40 60 80 100 Exposure dose [μc/cm 2 ] Exposure dose [μc/cm 2 ] Fig. 3. Minimum LER dependence on exposure dose. The developed line widths (LW) are (a) 20 and (b) 30 nm. The exposed line widths are 30 nm (blue diamonds), 10 nm (yellow green triangles), and 5 nm (red squares). The solid lines are fitting curve expressed by the following equation. α( ) β LER = Dose LER is proportional to the inverse of square root of exposure dose at moderate acid diffusion length. 1 LER Dose Dependence on exposure dose becomes severer with the decrease in LW. A. Saeki, T. Kozawa, and S. Tagawa, Appl. Phys. Express 2 (2009) 075006.

Pattern Formation Mechanisms of EUV Resists (8) Simulation Based on Resist Pattern Formation Mechanisms of EB/EUV Chemically Amplified Resists The LERs of a positive-tone CAR for EB/EUV lithographies after development were investigated by Monte Carlo simulation and a dissolution model based on a 1 nm mesh. LER(high frequency) of less than 1.2 nm is achievable by fine-tuning exposure dose, acid diffusion length (PEB time), and process conditions (development time, amine concentration, and activation energy) even though the proton and counter anion, which are attracted by each other (geminate pairs) and form an acid, are initially separated by 5.6 nm on average. The trade-off RLS relationship is successfully reproduced under the optimized condition of PEB and development time. LER is proportional to the inverse of square root of exposure dose at moderate acid diffusion length. Note that this is an ideal condition (1 nm mesh: excluding polymer effect, cluster, swelling in development etc.) in PHS base resists (not the best resist ). The improvement at each pattern formation stage is required cloth to its physical and chemical limit. The integration of improvement at each stage without interference is strongly needed for steady progress in the development of next generation EUV resists.

Resolution LER Exposure source dependent Exposure source independent Sensitivity The increase in pattern formation efficiency is required to simultaneously meet the requirements for RLS. Pattern formation efficiency = Absorption efficiency of incident energy (mainly absorption coefficient of polymer) Quantum yield of acid Efficiency of catalytic chain reaction X X Limited by side wall degradation Limited by secondary electron emission efficiency Limited by diffusion-controlled rate for chemical reaction Other factors:development and many other treatments etc..

Intensity of EUV (I) I = αi z Energy Absorption Process of EUV Resists Absorption coefficient (α) PHS : 3.8 μm -1 Interaction of EUV photon with CARs -spatial distribution- EUV photon (92.5 ev) photon Electron > IP Electron < IP z Inelastic mean free path <1 nm mean free path at electron with energy > IP Ionization + e - e - + Thermalization Ionization + * + Excitation Ionization e - Multi spur effect e - Thermalization e - + + e - + + e - e - Resist Thermalization Length 4.0 nm for PHS The number of secondary electrons is estimated expermentally. 4.2 for PHS PHS with 10 wt% TPS-tf Acid molecules per photon: 2.6 (Kozawa et al. J.Vac.Sci. Tecnnol.,B25(2007) 2481) Experimental value: 2.5 (Hirose et al.,jap.j.appl.phys,part 2(2007)

Pattern Formation Mechanisms of EUV Resists (4) Probability density of anion (/nm) Acid Generation in Resists by EB and EUV 0.12 0.10 0.08 0.06 0.04 0.02 0.00 (a) EB 0 10 20 30 Distance from ionization point (nm) Probability density of anion (/nm) 0.40 0.30 0.20 0.10 0.00 (b) EUV 0 10 20 30 Distance from EUV absorption point (nm) Probability density of anion generated in PHS with 10 wt% TPS-tf by (a) EB and (b) EUV Acid generation efficiency (ionization) 0.74 per ionization 0.62 per ionization G(acid) = 3.3 (3.3 acids per 100 ev) Kozawa et al. J.Vac.Sci.Tecnnol. B24,3055(2006) 2.6 acids per one photon(92.5 ev) in PHS Kozawa and Tagawa, J.Vac.Sci.Tecnnol.,B25(2007) 2481 Experimental value: 2.5 Hirose et al., Jap.J.Appl. Phys, Part 2 Let. & Express Let.,46,L979(2007) Difference between acid generation of EB and EUV resists is small, although energy absorption mechanism is different.

Sensitivity and Shot Noise of CAR and non-car 5 mj/nm 2 is about 3 EUV photons/(1 nm) 2. It is very difficult to overcome shot noise problem in non-car. In CAR, the recombination reaction of acids and quenchers occurs in image boundary. LER is determined at image boundary. Acid diffusion decreases shot noise in CAR. 1 nm Initial acid distribution (high E a resist) Concentration (nm -3 ) 0.20 0.15 0.10 0.05 0.00 Aerial image of EUV Acid distribution after neutralization Initial acid distribution Quencher distribution after neutralization 0 5 10 15 20 No flare 50-100 nm Resolution blur Distance (nm) The aerial image of incident EUV (arb. unit) and the initial acid distribution (molecule nm -3 ).. (Jpn. J. Appl. Phys. 47 (2008) 4926). 7% flare

Conclusion 1. The development of EUV resists has steadily progressed based on understanding of the patterning mechanisms of EUV CARs. Many methods for improvement of resist performance such as the increase in the PAG concentration, energy absorption, acid yield are investigated at each stages of resist patterning. 2. The sensitivity and resolution is getting close to the requirements. However, LER is still away from the target. The simulation based on reaction mechanisms of EUV CARs shows how to get 1.2 nm LER in ideal conditions (1 nm mesh). LER contains factors independent of RLS trade-off relation such as molecular interactions (clustering) and swelling in development processes. The difference between molecular and polymer resists becomes essential to LER reduction, after LER decreases the level of molecular size. 3. New ideas of EUV CAR like polymer-bound PAGs and resists with anisotropic acid diffusion enhance the resist performances. 4. Many other factors for small patterning must be investigated such as resist pattern collapse, the decrease in broading of the patterned feature size due to acid diffusion, reconsideration of limitation factors such as mobilities of counter anions and quenchers, side and competing reactions such as crosslinking of polymer radicals and trapping sites of hole, proton and electrons. 5. The improvement at each pattern formation stage is required cloth to its physical and chemical limit. The integration of improvement at each stage without interference is strongly needed for steady progress in the development of next generation EUV resists.