Dynamics of a laser-assisted Z-pinch EUV source

Similar documents
Utsunomiya University Experiments, September - November 2011

Laser triggered Z-pinch broadband extreme ultraviolet source for metrology

Plasma Source Modelling for Future Lithography at 6.7 nm and Other Applications

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas

Padraig Dunne, UCD School of Physics Dublin, Ireland.

Development and Optimization of EUV Emission from Laser Produced Plasmas

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

EUV & Soft-X X Radiation Plasma Sources

Dual Laser Plasma Photoabsorption Studies Of Gadolinium In The Extreme Ultraviolet Region

Rare-earth plasma extreme ultraviolet sources at nm for next generation semiconductor lithography

Optimization of laser-produced plasma light sources for EUV lithography

Efficient EUV source by use of a micro-target containing tin nanoparticles

Laser matter interaction

Optical and EUV studies of laser triggered Z-pinch discharges

Laser heating of noble gas droplet sprays: EUV source efficiency considerations

New Plasma Diagnosis by Coherence Length Spectroscopy

OPTICAL DETECTION OF SLOW EXCITED NEUTRALS IN PLASMA- ASSISTED EXCIMER LASER ABLATION

Measurements of plasma temperature and electron density in laser-induced copper plasma by time-resolved spectroscopy of neutral atom and ion emissions

Laser Ablation Studies at UCSD and Plans for Time and Space Resolved Ejecta Measurements

Dense plasma formation on the surface of a ferroelectric cathode

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source

Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas

EUV lithography and Source Technology

Mubarak Mujawar, Ph.D.

Report on Visit to Ruhr University Bochum by International Training Program From October 1st to November 29th 2010

Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, Wuhan , China

Measured Stark shifts of Kr I line profiles in the 5s 5p and 5s 5p transitions

channel system which covers both sides of the laser line. Coverage on both sides of the spectrum allows for

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

Radiative Properties of Krypton Plasma & Emission of Krypton DPP Source in Water-Window Spectral Range

Miniature Vacuum Arc Thruster with Controlled Cathode Feeding

EUV-Technology with Discharge EUV-Lamp"

Radiation hydrodynamics of tin targets for laser-plasma EUV sources

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS

Plasma diagnostics of pulsed sputtering discharge

VARIATION OF ION ENERGY FLUX WITH INCREASING WORKING GAS PRESSURES USING FARADAY CUP IN PLASMA FOCUS DEVICE

high temp ( K) Chapter 20: Atomic Spectroscopy

Laser and pinching discharge plasmas spectral characteristics in water window region

All about sparks in EDM

Time-Resolved Emission Spectroscopic Study of Laser-Induced Steel Plasmas

Development of Radiation Hydrodynamic code STAR for EUV plasmas. Atsushi Sunahara. Institute for Laser Technology

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source

Plasma spectroscopy when there is magnetic reconnection associated with Rayleigh-Taylor instability in the Caltech spheromak jet experiment

The Future of EUV sources: a FIRE perspective

1 EX/P7-35. Spectroscopic Studies on GLAST-III Varying the Inductance and Charging Voltage of Vertical Field Coils

EUV Reflectivity measurements on Acktar Sample Magic Black

The ISIS Penning H - SPS and Diagnostic Developments at RAL

Electron temperature is the temperature that describes, through Maxwell's law, the kinetic energy distribution of the free electrons.

Properties of High Intensity EUV Micro-Plasma Pulsed Discharge EUV source

Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target

Influence of gas conditions on electron temperature inside a pinch column of plasma-focus discharge

DIAGNOSTIC OF A LASER-INDUCED OPTICAL BREAKDOWN BASED ON HALF-WIDTH AT HALF AREA OF H LINES , H , AND H

Transition probabilities of several transitions intheariiiandarivspectra

vacuum analysis plasma diagnostics surface science gas analysis

Comparison of experimental and simulated extreme ultraviolet spectra of xenon and tin discharges

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools

Plasma Temperature Measurements in the Context of Spectral Interference

Self-absorption influence on the optical spectroscopy of zinc oxide laser produced plasma

High intensity EUV and soft X-ray X plasma sources modelling

Development of portable neutron generators based on pinch and plasma focus discharges 1

Assessment of the Azimuthal Homogeneity of the Neutral Gas in a Hall Effect Thruster using Electron Beam Fluorescence

Study of a colliding laser-produced plasma by analysis of time and space-resolved image spectra.

Study of Electronegativity in Inductively Coupled Radio-Frequency Plasma with Langmuir Probe

Influence of an intensive UV preionization on evolution and EUV-emission of the laser plasma with Xe gas target (S12)

Wolter Imaging On Z. Chris Bourdon, Manager Z Imaging and Spectroscopy Julia Vogel, LLNL; Ming Wu, SNL ICF Diagnostics Workshop, October 5 th 2015

Measurements of electric-field strengths in ionization fronts during breakdown Wagenaars, E.; Bowden, M.D.; Kroesen, G.M.W.

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources

Pulsed-power based bright EUV light source for metrology

UC San Diego EUV Lithography Group Progress Report

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources

Document Version Publisher s PDF, also known as Version of Record (includes final page, issue and volume numbers)

Density Collapse in Improved Confinement Mode on Tohoku University Heliac

EMISSION SPECTROSCOPY

Volume Production of D - Negative Ions in Low-Pressure D 2 Plasmas - Negative Ion Densities versus Plasma Parameters -

Energy Spectroscopy. Ex.: Fe/MgO

EUV Source Developments on Laser-Produced Plasmas using Lithium New Scheme Target

MAPPING OF ATOMIC NITROGEN IN SINGLE FILAMENTS OF A BARRIER DISCHARGE MEASURED BY TWO PHOTON FLUORESCENCE SPECTROSCOPY (TALIF)

High Brightness EUV Light Source for Actinic Inspection & Microscopy

Course Details. Analytical Techniques Based on Optical Spectroscopy. Course Details. Textbook. SCCH 211: Analytical Chemistry I

STRONG DOUBLE LAYER STRUCTURE IN THERMIONIC VACUUM ARC PLASMA *

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine

Measurement of Absolute Argon Excited State Populations and Electron Energy Distribution Functions in an Ar-a-Si Plasma

Quantitative Analysis of Carbon Content in Bituminous Coal by Laser-Induced Breakdown Spectroscopy Using UV Laser Radiation

ICPSA Singapore Dec Laser Produced Colliding Plasmas: Diagnostics and Potential for Applications in LIBS. John T. Costello

6. ELECTRODE EXPERIMENT

Thomson Scattering from Nonlinear Electron Plasma Waves

Energy Spectroscopy. Excitation by means of a probe

Wavelength Frequency Measurements

Evaluation at the intermediate focus for EUV Light Source

Huashun Zhang. Ion Sources. With 187 Figures and 26 Tables Э SCIENCE PRESS. Springer

Laser Ablation for Chemical Analysis: 50 Years. Rick Russo Laser Damage Boulder, CA September 25, 2012

CHAPTER 4 EXPERIMENTAL RESULTS OF THRUSTER PLUME IMAGING

Electric Rocket Engine System R&D

Review of the doctoral dissertation of Ismail Saber titled: Spectral investigation of extreme ultraviolet induced plasmas

Nonequilibrium discharges in air and nitrogen plasmas at atmospheric pressure*

Hiden EQP Applications

Set-up for ultrafast time-resolved x-ray diffraction using a femtosecond laser-plasma kev x-ray-source

1) Jozef Stefan Institute, Jamova 39, 1000 Ljubljana, Slovenia

Lasers... the optical cavity

Plasma Diagnostics in an Applied Field MPD Thruster * #

Transcription:

Dynamics of a laser-assisted Z-pinch EUV source Isaac Tobin Laser & Plasma Applications, School of Physics, Trinity College Dublin Supervisor Prof. James G. Lunney EUV Litho Source Workshop 6 th November 2013

Laser Assisted Vacuum Arc (LAVA) lamp Diagnostic techniques: absolutely calibrated time integrated EUV spectroscopy 2 µm spatially resolved time integrated in-band EUV imaging in-band EUV filtered absolutely calibrated photodiode EUV filtered fast photodiode time- and spatially-resolved fast gated visible emission spectroscopy time of flight of ions with Faraday cup Rogowski coil characterisation of discharge current Angular thin film deposition debris study

Laser Assisted Vacuum Arc (LAVA) lamp Two rotating disc electrodes with a thin liquid metal coating, Anode wheel (GND) Cathode wheel (live) Liquid metal baths In vacuum (~10-5 mbar)

Laser Assisted Vacuum Arc (LAVA) lamp Two rotating disc electrodes with a thin liquid metal coating, ablate the cathode, Cathode wheel (live)

Laser Assisted Vacuum Arc (LAVA) lamp Two rotating disc electrodes with a thin liquid metal coating, ablate the cathode, laser plasma triggers discharge and leads to Z-pinch. Work just published: I. Tobin, L. Juschkin et al., Appl. Phys. Lett. 102, 203504 (2013) Cathode wheel (live)

Laser Assisted Vacuum Arc (LAVA) lamp Two rotating disc electrodes with a thin liquid metal coating, Ablate the cathode which is live (right hand side), Discharge lead to Z-pinch. Work just published: I. Tobin, L. Juschkin et al., Appl. Phys. Lett. 102, 203504 (2013)

Laser Assisted Vacuum Arc (LAVA) lamp Visible spectroscopy: 4 mm inter electrode gap imaged onto 3 mm slit of Czerny-Turner spectrometer via 3 silvered mirrors and a Dove prism Spectral range of ~ 380 nm 610 nm Oriel

4 mm Laser Assisted Vacuum Arc (LAVA) lamp Cathode wheel Sn: E discharge = 4 J (4.5 kv), E laser = 12 mj, t delay = 400 ns, Δt = 100 ns Anode wheel 400 500 600 Wavelength (nm) Spatial resolution of ~ 300 µm (4 mm imaged to 4.8 mm at ICCD with 26 µm 2 pixel size) Temporal resolution ~ 8 ns (minimum ICCD gate time Δt) Spectral resolution ~ 1 nm (instrumental broadening) Spectra recorded for t delay = 0 1.4 µs, V discharge = 3 kv 6 kv, with pure Sn and galinstan

Laser Assisted Vacuum Arc (LAVA) lamp Time delay between laser pulse & onset of discharge varied for material: galinstan: ~ 300 ns τ ~ 620 ns pure tin: ~ 200 ns τ ~ 600 ns Galinstan 4.5 kv:

Counts 0 10000 Laser Assisted Vacuum Arc (LAVA) lamp Galinstan: E discharge = 4 J (4.5 kv), E laser = 12 mj, Δt = 1 µs In III In II In III In III In III Sn III Sn III Many lines left to be diagnosed (In & Ga?) 400 450 500 550 600 Wavelength (nm) Spectra saturated, counts max ~ 15000 EUV emitting region (6 nm 18 nm) time integrated imaging: Shot (a) Shot (b) Shot (c) Shot (d)

LPP Laser Assisted Vacuum Arc (LAVA) lamp No discharge, 12 mj laser pulse on Sn: Cathode Wheel t 0 onset of laser pulse, 10 ns gate width 0 Counts 4000 Cathode Wheel 100 ns after laser pulse, 50 ns gate width 0 Counts 800 Anode wheel Sn II 579.9 nm Sn III 485.9 nm Sn III 458.7 nm Sn II 556.2 and 558.9 nm nm Sn II 533.2 nm 400 500 600 Wavelength (nm)

LPP Laser Assisted Vacuum Arc (LAVA) lamp 0 ns delay with 100 ns gate time Cathode Wheel Counts max = 20000 Anode wheel 400 500 600 Wavelength (nm)

LPP Laser Assisted Vacuum Arc (LAVA) lamp 100 ns delay with 100 ns gate time Cathode Wheel Counts max = 10000 Anode wheel 400 500 600 Wavelength (nm)

LPP Laser Assisted Vacuum Arc (LAVA) lamp 200 ns delay with 100 ns gate time Cathode Wheel Counts max = 15000 Anode wheel 400 500 600 Wavelength (nm)

LPP Laser Assisted Vacuum Arc (LAVA) lamp 300 ns delay with 100 ns gate time Cathode Wheel Counts max = 20000 Anode wheel 400 500 600 Wavelength (nm)

LPP Laser Assisted Vacuum Arc (LAVA) lamp 400 ns delay with 100 ns gate time Cathode Wheel Counts max = 8000 Anode wheel 400 500 600 Wavelength (nm)

LPP Laser Assisted Vacuum Arc (LAVA) lamp 500 ns delay with 100 ns gate time Cathode Wheel Counts max = 3000 Anode wheel 400 500 600 Wavelength (nm)

LPP Laser Assisted Vacuum Arc (LAVA) lamp 600 ns delay with 100 ns gate time Cathode Wheel Counts max = 4000 Anode wheel 400 500 600 Wavelength (nm)

LPP Laser Assisted Vacuum Arc (LAVA) lamp 700 ns delay with 100 ns gate time Cathode Wheel Counts max = 4000 Anode wheel 400 500 600 Wavelength (nm)

LPP Laser Assisted Vacuum Arc (LAVA) lamp 800 ns delay with 100 ns gate time Cathode Wheel Counts max = 2000 Anode wheel 400 500 600 Wavelength (nm)

LPP Laser Assisted Vacuum Arc (LAVA) lamp 900 ns delay with 100 ns gate time Cathode Wheel Counts max = 4000 Anode wheel 400 500 600 Wavelength (nm)

Ion current (ma) Ion current (ma) Laser Assisted Vacuum Arc (LAVA) lamp Sn ion time of flight: No discharge Time (µs) 2.45 J (3.5 kv) 4 J (4.5 kv) 6 J (5.5 kv) d = 45 cm ᴓ aperture = 2.7 mm B ~ 65 mt V bias = - 25 V R load = 296 Ω / 996 Ω Discharge: Peak ion velocity: 0 V 0.25 kev 2.45 J (3.5 kv) 1.84 kev 4 J (4.5 kv) 2.03 kev 6 J (5.5 kv) 3.48 kev Time (µs) Averages of 32 signals for ion currents (Elaser 12 mj)

Laser Assisted Vacuum Arc (LAVA) lamp Stark broadening analysis: To assume LTE checked McWhirter criterion: Ne 1.6 10 12 T 1 2 E 3 Ne 1.9 10 15 cm 3

Laser Assisted Vacuum Arc (LAVA) lamp Boltzmann electron temperature estimates Sn III lines: Range of electron temperatures estimated for Sn III and Sn IV lines (~ 2 ev 6 ev) Saha estimate of the ion temperature in agreement for this range Not confident in the Boltzmann data, further work needed. Statistical weight issue?

Conclusions We have recorded time- and spatially-resolved visible emission spectra for Sn and galinstan for discharge voltages of 3 kv 6 kv (along with 0 V) Clear broadening during the onset of discharge and during pinch phase Densities of up to ~ 5.5 10 18 cm -3 following pinch phase A range of temperatures have been estimated (~ 2 ev 6 ev) Further work needed to increase confidence Finer time steps (Δt = 20ns) to be analysed to further show evolution of plasma Further diagnosis of Ga and In lines needed (any advice/help welcome)

Acknowledgements: Trinity College Dublin Shaun Hegarty, Pascal Kuhn, James Creel, Gearoid O Connell, Shiasta Zeb, Inam Mirza, Mubarak Mujawar, Tony Donnelly, James Lunney University College Dublin Elaine Long, Niall Kennedy, Girum Beyene, Robert Stefanuik, Enda Scally, Paddy Hayden, Fergal O Reilly, Gerry O Sullivan, Emma Sokell, Padraig Dunne, Tom McCormack New Lambda, UCD, Dublin Paul Sheridan, Ken Fahy Fraunhofer ILT, RWTH Aachen University, Germany Larissa Juschkin Dublin City University Colm Fallon, Thomas Kelly, John Costello ISAN, Troitsk, Russia Yuri Sidelnikov, Konstantin Koshelev EPPRA, France Sergey Zakharov, Vasili Zakharov We acknowledge the support of Science Foundation Ireland under grants 7/RFP/PHYF143 and 07/IN.1/I1771 &

And finally, thanks for your attention! LAVA-lamp, Speclab, UCD

1 µs gate time: Sn, 4 J (4.5 kv), trigger laser 12 mj, color scale (min max): 0-15000 counts Sn, 4 J (4.5 kv), trigger laser 12 mj, color scale (min max): 0-5000 counts Saturated

1 µs gate time: Sn, 4 J (4.5 kv), trigger laser 12 mj

LPP Counts 0 10000 Galinstan: E discharge = 4 J (4.5 kv), E laser = 12 mj, Δt = 1 µs In III In II In III In III In III Sn III Sn III Many lines left to be diagnosed (In & Ga?) Cathode wheel Sn: E discharge = 4 J (4.5 kv), E laser = 12 mj, Δt = 1 µs Sn III Sn III Sn II Sn III Sn II Sn II Anode wheel 400 450 500 550 600 Wavelength (nm) Spectra saturated, counts max ~ 15000

B Translational Langmuir probe A Faraday = 0.057 cm 2 d Faraday = 50 cm A Langmuir = 0.103 cm 2 d Langmuir = 8.6 cm V bias = -25 V = 100 Ω R load 30º One bath removed N Liquid metal l bath Electrode l wheel Heater current feed-through Electrode rotation shaft