Lecture 9. Strained-Si Technology I: Device Physics

Similar documents
Courtesy of S. Salahuddin (UC Berkeley) Lecture 4

High Mobility Materials and Novel Device Structures for High Performance Nanoscale MOSFETs

Performance Enhancement of P-channel InGaAs Quantum-well FETs by Superposition of Process-induced Uniaxial Strain and Epitaxially-grown Biaxial Strain

Overview of Modeling and Simulation TCAD - FLOOPS / FLOODS

Study of Carrier Transport in Strained and Unstrained SOI Tri-gate and Omega-gate Si Nanowire MOSFETs

The Pennsylvania State University. Kurt J. Lesker Company. North Carolina State University. Taiwan Semiconductor Manufacturing Company 1

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room).

Fundamentals of Nanoelectronics: Basic Concepts

NEW VERSION OF LETI-UTSOI2 FEATURING FURTHER IMPROVED PREDICTABILITY, AND A NEW STRESS MODEL FOR FDSOI TECHNOLOGY

STRAIN EFFECTS ON THE VALENCE BAND OF SILICON: PIEZORESISTANCE IN P-TYPE SILICON AND MOBILITY ENHANCEMENT IN STRAINED SILICON PMOSFET

Lecture contents. Stress and strain Deformation potential. NNSE 618 Lecture #23

This is the author s final accepted version.

Simple Theory of the Ballistic Nanotransistor

Analytical Modeling of Threshold Voltage for a. Biaxial Strained-Si-MOSFET

OMEN an atomistic and full-band quantum transport simulator for post-cmos nanodevices

A Multi-Gate CMOS Compact Model BSIMMG

NEW ANALYTICAL MODEL AND SIMULATION OF INTRINSIC STRESS IN SILICON GERMANIUM FOR 3D NANO PMOSFETS

Components Research, TMG Intel Corporation *QinetiQ. Contact:

A Computational Model of NBTI and Hot Carrier Injection Time-Exponents for MOSFET Reliability

CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS

Microelectronics Reliability

Imaginary Band Structure and Its Role in Calculating Transmission Probability in Semiconductors

Research Article E-k Relation of Valence Band in Arbitrary Orientation/Typical Plane Uniaxially Strained

Effectiveness of Strain Solutions for Next-Generation MOSFETs. Nuo Xu. A dissertation submitted in partial satisfaction of the

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

EE 292L : Nanomanufacturing. Week 5: Advanced Process Technology. Oct

Spin Lifetime Enhancement by Shear Strain in Thin Silicon-on-Insulator Films. Dmitry Osintsev, Viktor Sverdlov, and Siegfried Selberherr

Enhanced Mobility CMOS

MONTE CARLO SIMULATION OF THE ELECTRON MOBILITY IN STRAINED SILICON

Laser-Induced Current Transients in Strained-Si Diodes

SILICON-ON-INSULATOR (SOI) technology has been

MOSFET PIEZORESISTANCE COEFFICIENTS ON (100) SILICON NIDHI MOHTA

Electro-Thermal Transport in Silicon and Carbon Nanotube Devices E. Pop, D. Mann, J. Rowlette, K. Goodson and H. Dai

Semiconductor Integrated Process Design (MS 635)

ECE 342 Electronic Circuits. Lecture 6 MOS Transistors

EE410 vs. Advanced CMOS Structures

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Towards Atomistic Simulations of the Electro-Thermal Properties of Nanowire Transistors Mathieu Luisier and Reto Rhyner

Microsystems Technology Laboratories, MIT. Teledyne Scientific Company (TSC)

Quantum-size effects in sub-10 nm fin width InGaAs finfets

Part 5: Quantum Effects in MOS Devices

Proposed Thermal Circuit Model for the Cost Effective Design of Fin FET

Current mechanisms Exam January 27, 2012

Supplementary Figures

Quantum Corrections for Monte Carlo Simulation

Scaling Issues in Planar FET: Dual Gate FET and FinFETs

THE END OF simple scaling for a solid-state device technology

III-V CMOS: What have we learned from HEMTs? J. A. del Alamo, D.-H. Kim 1, T.-W. Kim, D. Jin, and D. A. Antoniadis

Impact of Silicon Wafer Orientation on the Performance of Metal Source/Drain MOSFET in Nanoscale Regime: a Numerical Study

!""#$%&'("')*+,%*-'$(,".,#-#,%'+,/' /.&$0#%#'/(1+,%&'.,',+,(&$+2#'3*24'5.' 6758!9&!

Simple and accurate modeling of the 3D structural variations in FinFETs

Performance Analysis of Ultra-Scaled InAs HEMTs

Homework 2 due on Wednesday Quiz #2 on Wednesday Midterm project report due next Week (4 pages)

This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented.

! CMOS Process Enhancements. ! Semiconductor Physics. " Band gaps. " Field Effects. ! MOS Physics. " Cut-off. " Depletion.

Lecture 1 Nanoscale MOSFETs. Course Structure Basic Concepts (1-38)


MSE 310/ECE 340: Electrical Properties of Materials Fall 2014 Department of Materials Science and Engineering Boise State University

Diameter Optimization for Highest Degree of Ballisticity of Carbon Nanotube Field Effect Transistors I. Khan, O. Morshed and S. M.

A Theoretical Investigation of Surface Roughness Scattering in Silicon Nanowire Transistors

How a single defect can affect silicon nano-devices. Ted Thorbeck

Recent Development of FinFET Technology for CMOS Logic and Memory

EECS130 Integrated Circuit Devices

TCAD Modeling of Stress Impact on Performance and Reliability

YOUN SUNG CHOI UNIVERSITY OF FLORIDA

The Critical Role of Quantum Capacitance in Compact Modeling of Nano-Scaled and Nanoelectronic Devices

! CMOS Process Enhancements. ! Semiconductor Physics. " Band gaps. " Field Effects. ! MOS Physics. " Cut-off. " Depletion.

The Devices: MOS Transistors

Application II: The Ballistic Field-E ect Transistor

Surfaces, Interfaces, and Layered Devices

InGaAs Double-Gate Fin-Sidewall MOSFET

Multiple Gate CMOS and Beyond

MOSFET: Introduction

Ultimately Scaled CMOS: DG FinFETs?

First-principles study of electronic properties of biaxially strained silicon: Effects on charge carrier mobility

L ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling

CMOS Scaling. Two motivations to scale down. Faster transistors, both digital and analog. To pack more functionality per area. Lower the cost!

MOSFET. Id-Vd curve. I DS Transfer curve V G. Lec. 8. Vd=1V. Saturation region. V Th

1 Name: Student number: DEPARTMENT OF PHYSICS AND PHYSICAL OCEANOGRAPHY MEMORIAL UNIVERSITY OF NEWFOUNDLAND. Fall :00-11:00

Lecture 12: MOS Capacitors, transistors. Context

Glasgow eprints Service

Gate Carrier Injection and NC-Non- Volatile Memories

Final Examination EE 130 December 16, 1997 Time allotted: 180 minutes

Classification of Solids

Lecture 35: Introduction to Quantum Transport in Devices

Technische Universität Graz. Institute of Solid State Physics. 11. MOSFETs

Low-Field Mobility and Quantum Effects in Asymmetric Silicon-Based Field-Effect Devices

Semiconductor Physics Problems 2015

Integrated Circuits & Systems

V t vs. N A at Various T ox

CMOS Technology for Computer Architects

Modeling and Computation of Gate Tunneling Current through Ultra Thin Gate Oxides in Double Gate MOSFETs with Ultra Thin Body Silicon Channel

A Compact Analytical Modelling of the Electrical Characteristics of Submicron Channel MOSFETs

The Future of CMOS. David Pulfrey. CHRONOLOGY of the FET. Lecture Lilienfeld s patent (BG FET) 1965 Commercialization (Fairchild)

Intrinsic Reliability improvement in Biaxially Strained SiGe p-mosfets

A holistic analysis of circuit performance variations in 3D-ICs with thermal and TSV-induced stress considerations

Semiconductor Physics fall 2012 problems

High Dielectric Constant (k) Materials

Simulation of Schottky Barrier MOSFET s with a Coupled Quantum Injection/Monte Carlo Technique

The Evolution of Theory on Drain Current Saturation Mechanism of MOSFETs from the Early Days to the Present Day

Transcription:

Strain Analysis in Daily Life Lecture 9 Strained-Si Technology I: Device Physics Background Planar MOSFETs FinFETs Reading: Y. Sun, S. Thompson, T. Nishida, Strain Effects in Semiconductors, Springer, 010. multiple research articles (reference list at the end of this lecture)

Mechanical Stress/Strain Analysis Stress: results from the repulsive electromagnetic force between ionic cores when the lattice atoms deviate from their equilibrium positions. Stress = lim F s F n L Strain = lim L Extensional Stress Types Shear Stress Tensor Tensile Compressive

Strain-Stress Relationship Elasticity Tensor: assuming strain has linear response to stress (Hooke s Law) can be simplified into 3 independent variables, for cubic lattices (e.g. Si) If x, y, z along main crystal axis: OR for a certain direction: Extensional Strain/Stress Shear Strain/Stress 3

Impact of Strain on Semiconductors Energy Band Splitting Evs. k curvature change Si Ge w/o s CB VB w/ hs w/ us 4 HH LH GaAs Unstrained 1GPa <110> Compressive Y. Sun, JAP (007) 4

Strain Impact on Si Bandstructure: Planar N-MOSFET Unstrained Longitudinal Vertical Electrons Δ Electron Gate Gate Gate [110] Source Drain Source Drain Source Drain Lower DOS available for Scattering Reduced (Inter-valley) Scattering Rates Energy Splitting applying strain Sub-band reoccupation Lowered average m * E-k Curvature Change Transport m * Reduction K. Uchida, IEDM (008) 5

Strain Impact on Si Bandstructure: Planar P-MOSFET [110] Gate Gate Gate Source Drain Source Drain Source Drain Unstrained Longitudinal Vertical Holes Heavy Hole Light Hole Split-off Hole Lower DOS available for Scattering Reduced (Inter-sub-band) Scattering Rates Energy Splitting applying strain Sub-band reoccupation Lowered average m * E-k Curvature Change Transport m * Reduction 6

Strain Enhancement on Si MOSFET Carrier Mobility [110] Electron Hole D. Esseni, JCE (009) F. Conzati, SSE (009) For N-MOSFET, electron mobility enhancement saturates at ~1.5 GPa <110>-uniaxial tensile stress. For P-MOSFET, hole mobility enhancement saturates at very high <110>-uniaxial compressive stress. 7

Impact of Quantum Confinement Electrons under Biaxial Tensile Stress Holes under Uniaxial Comp. Stress O. Weber, IEDM (007) E. X. Wang, TED (006) N-MOSFET: e % degrades at higher E eff, due to the quantum confinement counters the strain-induced sub-band splitting. P-MOSFET: h % maintains vs. E eff due to the dominant m * reduction. 8

Analytical Modeling for Carrier Mobility Enhancement due to Strain Piezoresistance (PR) Model Sub-band Reoccupation Model Too simple Limited predictive ability (by ignoring E eff, Straindependence ) J.-S. Lim, EDL (004) Analytically models the energy-band top and m * change vs. strain Trade-off between computing loads and accuracy 10/5/013 9 Nuo Xu EE 90D, Fall 013

Numerical Approach: Self-Consistent Poisson-Schrödinger Simulation scps Approach Schrödinger equation d e() z () () * n z Enn z m z dz g n nn( z) dk f( E ) (, ) k n k z k nz ( ) nn( z) n d d( z) ( z) en D NA pz ( ) nz ( ) dz dz Poisson equation 6x6 Luttinger-Kohn Hamiltonian for Holes L PQ L M 0 M 3 L PQ 0 M Q L 3 M 0 PQ L L Q L 0 M L PQ M L 3 Q L M P 0 3 L M L Q 0 P P k k k m 0 0 1( x y z) Q k k k m 0 ( x y z) L 3 3( k ik ) k m 0 x y z M 3[ ( kx ky) i 3kxky) m Impacts of strain on energy-band top as well as curvature change will be completely included into the Hamiltonian. Computationally intensive. 10

Impact of Si Body Thickness Scaling Electron Mobility ( cm /V.s) [110] 400 380 360 340 30 300 80 N-Channel (Electron) 1GPa Longitudinal Tensile 1GPa Vertical Compressive Unstrained (100)/<110> N inv =1.0e13cm - 4 6 8 10 1 14 Silicon Film Thickness (nm) scps simulations, N. Xu, VLSI-T (011) 0.35 0.30 0.5 0.0 0.15 0.10 0.05 0.00 Electron Mobility Change ( e e ) Hole Mobility ( cm /V.s) 160 140 10 100 80 60 40 P-Channel (Hole) (100)/<110> 1GPa Longi. Comp. 1GPa Verti. Tens. 1GPa Trans. Tens. Unstrained P inv =1.0e13cm - 4 6 8 10 1 14 Silicon Film Thickness (nm) Stress enhancement diminishes for electrons as t SOI scaled below 5nm, but not for holes. 1.4 1. 1.0 0.8 0.6 0.4 0. 0.0 Hole Mobility Change ( h h ) 11

Piezo-Coefficient (10-11 Pa -1 ) Impact of t Si Scaling on Piezoresistive 10-10 -0-30 -40 [110] 0 zz 0 Coefficients Open symbols Simulated Closed Symbols Measured N-Channel (Electron) yy xx Vertical zz Transverse yy Longitudinal xx Uchida, IEDM 04,08 Xu, VLSI 11-50 4 6 8 10 1 Silicon Body Thickness (nm) N. Xu, SOI Conf. (01) P-Channel (Hole) -0 yy -40 zz -60 4 6 8 10 1 Silicon Body Thickness (nm) 1 Piezo-Coefficient (10-11 Pa -1 ) 80 60 40 0 0 xx Longitudinal xx Transverse yy Vertical zz Uchida, IEDM 08 Xu, VLSI 11 As t Si decreases, Π xx decreases due to diminished sub-band reoccupation and inter-valley scattering reduction.

[110] Strain Enhancement on FinFET Carrier Mobility: Electrons (110)-sidewall N-FinFET Unstrained Strained (100)-sidewall N-FinFET 4, nd,1 st 4, 1 st (110)-sidewall N-FinFET N. Serra, TED (010) 13

Strain Enhancement on FinFET Carrier Mobility: Holes Equi-energy contours from the 1 st HH Planar MOSFET (100)/<110> (100) Surface [110] FinFET (110)/<110> [110] (110) Surface unstrained σ x = -1 GPa Courtesy of L. Smith (Synopsys) 14

Piezo-Coefficient (10-11 Pa -1 ) 80 60 40 0 0-0 -40-60 [110] Impact of Fin Width Scaling on Piezoresistive Coefficients Open symbols Simulated Closed Symbols Measured N-Channel (Electron) zz Xu, EDL 1 Serra, TED 10 yy xx Saitoh, VLSI 08 Shin, EDL 06 Suthram, EDL 08 6 9 1 15 18 1 4 Silicon Fin Width (nm) P-Channel (Hole) 6 9 1 15 18 1 4 No substantial degradation in piezo-resistance is seen with decreasing fin width. Piezo-Coefficient (10-11 Pa -1 ) 80 60 40 0 0-0 -40 xx Xu, EDL 1 Saitoh, VLSI 08 Krishinamohan, IEDM 08 Suthram, EDL 08 zz yy N. Xu, SOI Conf. (01) Silicon Fin Width (nm) 15

Impact of Fin Aspect-Ratio scps simulations, N. Xu, IEDM (010) N-Channel (Electron) P-Channel (Hole) 400 N inv = 9x10 1 cm - 500 Open: H Si = 58nm, W Si = 0nm Filled: H Si = 0nm, W Si = 58nm Electron Mobility (cm /Vs) 300 00 <100> CESL (Uniaxial) <100> Biaxial Tensile <110> CESL (Uniaxial) <110> Biaxial Tensile Open: H Si = 58nm, W Si = 0nm Filled: H Si = 0nm, W Si = 58nm 100 0 00 400 600 800 1000 100 1400 Stress (MPa) 100 0 00 400 600 800 1000 100 1400 μ n is highest for <100> uniaxial-strained Tri-Gate, μ p is highest for <110> uniaxial-strained Tri-Gate at high level stress. Hole Mobility (cm /Vs) 400 300 00 CESL(Uniaxial) Biaxial Compressive Biaxial Tensile <110> Fin Stress (MPa) P inv =1x10 13 cm - 16

Strain Enhancement on Short-Channel MOSFET Performance Stress-induced Enhancement (%) 14 1 10 8 6 4 Mobility vs. Gate Length Long Channel +1% short channel % I d,lin % 30 40 50 60 70 80 90 100 Gate Length (nm) Expt. results, N. Xu, VLSI-T (011,01) 300K P inv =9.5e1cm - 0 0 4 6 8 10 1 14 16 18 0 I d,lin Increase @ V ds =10mV (%) Enhancement in apparent mobility is maintained vs. L g scaling. ~50% of Δµ/µ can be transferred to ΔI ON /I ON. 17 I on Increase @ V ds =1V (%) ΔI ON /I ON vs. Δi dlin /I dlin under strain 1 10 8 6 4 p-channel FDSOI L g = 30nm ~ 80nm P inv =7.0e1cm - Forward Back Bias V back = -0.5 ~ -1.0V Wafer Bending S xx = -70 ~ -170MPa S = 0.59 S = 0.54

References 1. Y. Sun et al., Physics of Strain Effects in Semiconductors and metal-oxide-semiconductor fieldeffect transistors, Journal of Applied Physics, 101, 104503, 007.. F. Conzati et al., Drain Current Improvements in Uniaxially Strained P-MOSFETs: A Multi-Subband Monte Carlo Study, Solid-State Electronics, vol.53, pp.706-711, 009. 3. D. Esseni et al., Semi-Classical Transport Modeling of CMOS Transistors with Arbitrary Crystal Orientations and Strain Engineering, Journal of Computational Electronics, vol.8, pp.09-4, 009. 4. N. Xu et al., Stress-induced Performance Enhancement in Ultra-Thin-Body Fully Depleted SOI MOSFETs: Impacts of Scaling, Symposium on VLSI Technology Dig., p.16-163, 011. 5. K. Uchida et al., Physical Mechanisms of Electron Mobility Enhancement in Uniaxial Stressed MOSFETs and Impacts of Uniaxial Stress Engineering in Ballistic Regime, IEEE International Electron Device Meeting, Tech. Dig., p.9-3, 004. 6. K. Uchida et al., Carrier Transport and Stress Engineering in Advanced Nanoscale Transistors From (100) and (110) Transistors To Carbon Nanotube FETs and Beyond, IEEE International Electron Device Meeting, Tech. Dig., p.569-57, 008. 7. N. Serra et al., Mobility Enhancement in Strained n-finfets: Basic Insight and Stress Engineering, IEEE Transactions on Electron Devices, vol.57, p.48-490, 010. 8. T. Krishnamohan et al., Comparison of (001), (110) and (111) Uniaxial- and Biaxial- Strained-Ge and Strained-Si PMOS DGFETs for All Channel Orientations: Mobility Enhancement, Drive Current, Delay and Off-State Leakage, IEEE International Electron Device Meeting, Tech. Dig., p.899-90, 008. 9. M. Saitoh et al., Three-Dimensional Stress Engineering in FinFETs for Mobility/On-Current Enhancement and Gate Current Reduction, Symposium on VLSI Technology Dig., p.18-19, 008. 10. K. Shin et al., Study of Bending-Induced Strain Effects on MuGFET Performance, IEEE Electron Device Letters, vol.7, p.671-673, 006. 18

References 11. S. Suthram et al., Comparison of Uniaxial Wafer Bending and Contact-Etch-Stop-Liner Stress Induced Performance Enhancement on Double-Gate FinFETs, IEEE Electron Device Letters, vol.9, p.480-48, 008. 1. J.-S. Lim et al., Comparison of Threshold Voltage Shifts for Uniaxial and Biaxial Tensile-Stressed n-mosfets, IEEE Electron Device Letters, vol.5, no.11, pp.731-733, 004. 13. E. X. Wang et al., Physics of Hole Transport in Strained Si MOSFET Inversion Layers, IEEE Transactions on Electron Devices, vol.53, no.8, pp.1840-1851, 006. 14. O. Weber et al., Examination of Additive Mobility Enhancement for Uniaxial Stress Combined with Biaxially Strained Si, Biaxially Strained SiGe and Ge Channel MOSFETs, IEEE International Electron Device Meeting, Tech. Dig., p.719-7, 007. 15. N. Xu et al., MuGFET Carrier Mobility and Velocity: Impacts of Fin Aspect Ratio, Orientation and Stress, IEEE International Electron Device Meeting, Tech. Dig., p.194-197, 010. 16. N. Xu et al., Impact of Back Biasing on Carrier Transport in Ultra-Thin Body and BOX (UTBB) Fully Depleted SOI MOSFETs, Symposium on VLSI Technology Dig., p.113-114, 01. 19