Extending the Era of Moore s Law

Similar documents
There s Plenty of Room at the Bottom and at the Top

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room).

Tilted ion implantation as a cost-efficient sublithographic

NEM Relay Design for Compact, Ultra-Low-Power Digital Logic Circuits

Recent Progress and Challenges for Relay Logic Switch Technology

Multiple Gate CMOS and Beyond

EE410 vs. Advanced CMOS Structures

Lecture 0: Introduction

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing

Chapter 3 Basics Semiconductor Devices and Processing

Scaling Issues in Planar FET: Dual Gate FET and FinFETs

S No. Questions Bloom s Taxonomy Level UNIT-I

L ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling

The Pennsylvania State University. Kurt J. Lesker Company. North Carolina State University. Taiwan Semiconductor Manufacturing Company 1

Digital Integrated Circuits A Design Perspective

Hw 6 and 7 Graded and available Project Phase 2 Graded Project Phase 3 Launch Today

ELEN0037 Microelectronic IC Design. Prof. Dr. Michael Kraft

Recent Development of FinFET Technology for CMOS Logic and Memory

Lecture 25. Semiconductor Memories. Issues in Memory

III-V CMOS: What have we learned from HEMTs? J. A. del Alamo, D.-H. Kim 1, T.-W. Kim, D. Jin, and D. A. Antoniadis

Tri-Gate Fully-Depleted CMOS Transistors: Fabrication, Design and Layout

Administrative Stuff

ECE520 VLSI Design. Lecture 23: SRAM & DRAM Memories. Payman Zarkesh-Ha

Nanometer Transistors and Their Models. Jan M. Rabaey

Future Trends in Microelectronics Impact on Detector Readout. Paul O Connor

GMU, ECE 680 Physical VLSI Design 1

SEMICONDUCTOR MEMORIES

Simulation-based Study of Super-steep Retrograde Doped Bulk FinFET Technology and 6T-SRAM Yield

A 20 nm gate-length ultra-thin body p-mosfet with silicide source/drain

Semiconductor Memories

Parallel Processing and Circuit Design with Nano-Electro-Mechanical Relays

Faculty Presentation: Novel Technologies

Simple and accurate modeling of the 3D structural variations in FinFETs

MOSFET: Introduction

EE130: Integrated Circuit Devices

A Multi-Gate CMOS Compact Model BSIMMG

Comparison of Ultra-Thin InAs and InGaAs Quantum Wells and Ultra-Thin-Body Surface-Channel MOSFETs

P. R. Nelson 1 ECE418 - VLSI. Midterm Exam. Solutions

Lecture 9. Strained-Si Technology I: Device Physics

Enhanced Mobility CMOS

Thin Film Transistors (TFT)

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories

Part 5: Quantum Effects in MOS Devices

Magnetic core memory (1951) cm 2 ( bit)

ECE 497 JS Lecture - 12 Device Technologies

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications

Study of Carrier Transport in Strained and Unstrained SOI Tri-gate and Omega-gate Si Nanowire MOSFETs

nmos IC Design Report Module: EEE 112

Future trends in radiation hard electronics

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa

EE 230 Lecture 31. THE MOS TRANSISTOR Model Simplifcations THE Bipolar Junction TRANSISTOR

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK

Homework 2 due on Wednesday Quiz #2 on Wednesday Midterm project report due next Week (4 pages)

The Future of CMOS. David Pulfrey. CHRONOLOGY of the FET. Lecture Lilienfeld s patent (BG FET) 1965 Commercialization (Fairchild)

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

VLSI VLSI CIRCUIT DESIGN PROCESSES P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

Low Frequency Noise in MoS 2 Negative Capacitance Field-effect Transistor

EE141- Fall 2002 Lecture 27. Memory EE141. Announcements. We finished all the labs No homework this week Projects are due next Tuesday 9am EE141

Lecture 1: Circuits & Layout

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

EECS130 Integrated Circuit Devices

There's Plenty of Room at the Bottom

ECE 546 Lecture 10 MOS Transistors

MOS Transistor Properties Review

Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters

Quantum-size effects in sub-10 nm fin width InGaAs finfets

EE141-Fall 2011 Digital Integrated Circuits

III-V field-effect transistors for low power digital logic applications

Self-Aligned InGaAs FinFETs with 5-nm Fin-Width and 5-nm Gate-Contact Separation

Components Research, TMG Intel Corporation *QinetiQ. Contact:

3/10/2013. Lecture #1. How small is Nano? (A movie) What is Nanotechnology? What is Nanoelectronics? What are Emerging Devices?

EE 5211 Analog Integrated Circuit Design. Hua Tang Fall 2012

Beyond Si: Opportunities and Challenges for CMOS Technology Based on High-Mobility Channel Materials T.P. Ma Yale University

High Mobility Materials and Novel Device Structures for High Performance Nanoscale MOSFETs

Quantum Mechanical Simulation for Ultra-thin High-k Gate Dielectrics Metal Oxide Semiconductor Field Effect Transistors

Manufacture of Nanostructures for Power Electronics Applications

Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm

Lecture 6: 2D FET Electrostatics

How a single defect can affect silicon nano-devices. Ted Thorbeck

Comparative studies of Ge and Si p-channel metal oxide semiconductor field-effect-transistors with HfSiON dielectric and TaN metal gate

CS 152 Computer Architecture and Engineering

SEU RADIATION EFFECTS ON GAA-CNTFET BASED DIGITAL LOGIC CIRCUIT

Advanced Topics In Solid State Devices EE290B. Will a New Milli-Volt Switch Replace the Transistor for Digital Applications?

EE105 Fall 2014 Microelectronic Devices and Circuits. NMOS Transistor Capacitances: Saturation Region

Lecture 11: MOSFET Modeling

The Critical Role of Quantum Capacitance in Compact Modeling of Nano-Scaled and Nanoelectronic Devices

ECE 342 Electronic Circuits. Lecture 6 MOS Transistors

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

NANO-CMOS DESIGN FOR MANUFACTURABILILTY

Lecture 15: Scaling & Economics

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented.

Emerging Research Devices: A Study of CNTFET and SET as a replacement for SiMOSFET

Nanoelectronics. Topics

2D-2D tunneling field effect transistors using

An Analytical Model for a Gate-Induced-Drain-Leakage Current in a Buried-Channel PMOSFET

Section 12: Intro to Devices

Section 12: Intro to Devices

Microelectronics Part 1: Main CMOS circuits design rules

Transcription:

14 nm chip X SEM from www.intel.com/content/dam/www/public/us/en/documents/pdf/foundry/mark bohr 2014 idf presentation.pdf Extending the Era of Moore s Law Tsu Jae King Liu Department of Electrical Engineering and Computer Sciences University of California, Berkeley September 11, 2017 SPIE Photomask Technology + EUV Lithography Conference

IC Technology Advancement Gordon E. Moore, Cramming more Components onto Integrated Circuits, Electronics, pp. 114 117, April 1965 The minimum cost point moves to a larger number of components per IC over time, with advancements in manufacturing technology. Investment Transistor Scaling Market Growth Lower Cost/Component Higher Performance 2

Outline Transistor Scaling to the Limit Extending the Era of Moore s Law Summary

Transistor Basics Metal Oxide Semiconductor (MOS) Field Effect Transistor (FET) Gate length, L G log (CURRENT) I ON Channel width, W I OFF Inverse slope is subthreshold swing, S [mv/dec] Electron Energy Band Profile n(e) exp ( E/kT) 0 V TH GATE VOLTAGE V DD v eff increasing E Source increasing V GS distance Drain I W v Q D inv Q inv C ox ( V V ) TH GS 4

Complementary MOS Devices & Circuits CIRCUIT SYMBOLS N channel P channel MOSFET MOSFET G S D ON if V G >V S +V TH G S D ON if V G <V S V TH CMOS NAND GATE CMOS INVERTER CIRCUIT V0 DD V V IN 0 V V DD NOT AND (NAND) TRUTH TABLE S OFF ON D D OFF ON S V =0 =VV OUT DD V DD V OUT 0 V DD INVERTER LOGIC SYMBOL STATIC MEMORY (SRAM) CELL V IN WORD LINE BIT LINE 0 1 or or 1 0 BIT LINE 5

CMOS Technology Scaling XTEM images with the same scale courtesy V. Moroz (Synopsys, Inc.) 90 nm node 65 nm node 45 nm node 32 nm node T. Ghani et al., IEDM 2003 (after S. Tyagi et al., IEDM 2005) K. Mistry et al., IEDM 2007 P. Packan et al., IEDM 2009 strained Si eff high-k/metal gate C ox 6

Design for Manufacturing courtesy Mike Rieger (Synopsys, Inc.) SRAM bit cell layouts C. Webb, Intel Technology Journal, vol. 12, No. 2, pp. 121 130, 2008 PG PU PD PU PD PG PD PG PU PU PG PD 90 nm 65 nm 45 nm 7

6-T SRAM Cell Impact of Misalignment Desired layout (6 T SRAM cell) Actual layout w/ lateral misalignment (gate length variations) PD PU PG PG PU PD L g reduced L g increased Actual layout (corner rounding) Actual layout w/ vertical misalignment (channel width variations due to active jogs) W reduced W increased 8

6-T SRAM Cell Double Patterning of Gate Desired layout (6 T SRAM cell) Actual layout after 1 st gate patterning PD PU PG PG PU PD Actual layout after active patterning Actual layout after 2 nd gate patterning (no gate length variation) 9

Impact of Variability on SRAM STATIC MEMORY (SRAM) CELL WORD LINE BUTTERFLY CURVES V SN2 V DD SNM1 SN1 SN2 BIT LINE BIT LINE SNM2 V SN1 0 V DD Pre charged to V DD V TH mismatch results in reduced static noise margin. lowers cell yield and/or limits V DD scaling Immunity to short channel effects needed! 10

Short Channel Effects Gate C ox C dep Source Body Drain V TH decreases with decreasing L g and with increasing V DS : log I D Source Drain Increased capacitive coupling between Gate and channel provides for better Gate control, hence reduced SCE V GS 11

FinFET/Tri Gate Transistor H Fin L G W Fin GATE Source Gate 10 nm SOURCE 20 nm Drain D. Hisamoto et al. (UC Berkeley), IEDM 1998 15nm L g FinFET DRAIN log I D V DD S I ON C C total V GS ox Superior gate control higher I ON /I OFF or lower V DD Multiple fins can be connected in parallel to achieve higher ON state drive current. Y. K. Choi et al., (UC Berkeley) IEDM 2001 Intel Corp., May 2011 12

SiGe SOI Spacer Lithography Y. K. Choi, T. J. King, and C. Hu, IEEE Trans. Electron Devices, Vol. 49, No. 3, pp. 436 441, 2002 a.k.a. Sidewall Image Transfer (SIT) and Self Aligned Double Patterning (SADP) 1. Deposit & pattern sacrificial layer 3. Etch back mask layer to form spacers SiGe SOI BOX BOX 2. Deposit mask layer (SiO 2 or Si 3 N 4 ) SiGe SOI BOX 4. Remove sacrificial layer; etch SOI layer to form fins fins BOX Note that fin pitch is 1/2 that of patterned layer 13

MOSFET Evolution 32 nm planar FinFET: 22 nm thin body beyond 7 nm Stacked stacked nanosheets nanowires? P. Packan et al. (Intel), IEDM 2009 FD SOI: Intel Corp. K. Cheng et al. (IBM), VLSI Symp. 2011 N. Loubet et al. (IBM, Samsung, GLOBALFOUNDRIES) C. Dupré et al. (CEA LETI) Symp. VLSI IEDM Tech 2008 2017 Stacked gate all around (GAA) FETs achieve the highest layout efficiency. 14

Channel Length Scaling Limit Quantum mechanical tunneling sets a fundamental scaling limit for the channel length (L C ). If electrons can easily tunnel through the source potential barrier, the gate cannot shut off the transistor. nmosfet Energy Band Diagram (OFF state) SOURCE DRAIN E C J. Wang et al., IEDM Technical Digest, pp. 707 710, 2002 E C 15

1 nm Gate Length MOSFET S. B. Desai et al., Science, Vol. 354, No. 6308, pp. 99 102, 2016 A 1 nm diameter CNT gated MoS 2 MOSFET is demonstrated with ON/OFF current ratio 10 6 (V DD 1 V) Heavier m eff (0.45m 0 vs. 0.26m 0 in Si) Bandgap energy 1.3 ev 16

Future Logic Switches Future Drain Current 1/S Today Energy Today Future Gate Voltage Delay Higher I ON /I OFF ratio lower minimum Energy/op Steeper switching behavior needed (S < 60mV/dec) 17

Outline Transistor Scaling to the Limit Extending the Era of Moore s Law Summary

Self Aligned Double Patterning Cross sectional View Plan View 19

Multiple patterning techniques have extended Moore s Law beyond the lithographic resolution limit at increasing cost Normalized Cost and Steps 5 4 3 2 1 0 Single Exposure Cost Steps LELE Single Spacer Samsung, EUVL Symposium 2009 ASML, SPIE Advanced Lithography 2012 Double Spacer The sheer cost and complexity of this lithographic solution could dissuade chipmakers from jumping to future nodes, thereby stunting the growth rates of the IC industry. Semiconductor Engineering, April 17 th, 2014 02/25/2016 Sang Wan Kim 20 20

Tilted ion implantation (TII) Approach A sub lithographic damage region can be achieved by tilted ion implantation (TII) + photoresist/hard mask self aligned to pre existing mask features on surface 21

Impact of TII on SiO 2 Etch Rate S. W. Kim et al., SPIE Advanced Lithography 2016 Ar + implant conditions: 15 tilt; 1.5 kev; dose = 0, 2 or 3 x 10 14 /cm 2 1.2 0.9 0.6 0.3 0.0 Etch rate [Å/sec] 1.5 3E14 2E14 ~6X ~9X no implant 0 10 20 30 40 50 SiO 2 Depth [Å] 3E14 2E14 2.4 2.0 1.6 1.2 0.8 0.4 Damage [x10 22 /cm 3 ] Symbols & solid lines: etch rate in 200:1 DHF Dotted lines: damage profile (SRIM) 22

Double Patterning by TII Thermal SiO 2 : masking layer Formation of linear a-si hard-mask features by spacer patterning hard mask SiO 2 23

Double Patterning by TII θ Thermal SiO 2 : masking layer Formation of linear a-si hard-mask features by spacer patterning hard mask Si y First implant: positive tilt angle x W trench y(tan(θ) cot(α)) α α SiO 2 x x Silicon W trench 24

Double Patterning by TII Thermal SiO 2 : masking layer Formation of linear a-si hard-mask features by spacer patterning hard mask First implant: positive tilt angle x W trench y(tan(θ) cot(α)) Second implant: negative tilt angle x W trench y(tan(θ) cot(α)) SiO 2 25

Double Patterning by TII Thermal SiO 2 : masking layer Formation of linear a-si hard-mask features by spacer patterning hard mask Si y First implant: positive tilt angle x W trench y(tan(θ) cot(α)) α Second implant: negative tilt angle x W trench y(tan(θ) cot(α)) SiO 2 x W fin x x Silicon W fin x Selective removal of damaged SiO 2 Si substrate dry etch W fin 2y(tan(θ) cot(α)) W trench 26

Proof of Concept: Single Implant S. W. Kim et al. (UC Berkeley), SPIE Advanced Lithography 2016 Cross sectional Scanning Electron Micrographs Ar-ion implantation with θ = 15 15 etched a-si 1 2 3 remaining a-si Si substrate Si substrate Sub lithographic features (~45 nm) achieved by 15 tilt, 3.0 kev Ar + implant into 10 nm thick SiO 2 hard mask dilute HF etch Si dry etch 27

Self Aligned Nature of TII Patterning P. Zheng et al. (UC Berkeley), IEEE Transactions on Electron Devices, vol. 64, no. 1, pp. 231 236, 2017 The TII defined edge closely tracks the HM edge = a Si hard mask = un etched c Si = etched c Si 28

Line Edge Roughess Comparison P. Zheng et al. (UC Berkeley), IEEE Transactions on Electron Devices, vol. 64, no. 1, pp. 231 236, 2017 36 samples, 2.74 µm long TII improves low and mid frequency line edge roughness 29

TII Patterning Resolution Limit P. Zheng et al. (UC Berkeley), IEEE Transactions on Electron Devices, vol. 64, no. 1, pp. 231 236, 2017 Error bars represent 1 standard deviation TII can be used to pattern features as small as 10 nm. 30

Double Tilted Implant Results S. W. Kim et al. (UC Berkeley), SPIE Advanced Lithography 2016 Cross-sectional SEM Plan-view SEM 1 15 LTO 3 a-si 2 Si substrate 3 2 1 Local pitch halving achieved with ±15 tilt, 3.0 kev Ar + implants ~21 nm half pitch of the etched Si features 31

Double Patterning Approaches Spacer lithography (SADP) Silicon 32

Double Patterning Approaches Spacer lithography (SADP) Tilted Ion Implantation (TII) PR PR SiO 2 (Oxidation) PR Silicon Silicon 33

Double Patterning Approaches Spacer lithography (SADP) Tilted Ion Implantation (TII) Hard mask (CVD) SiO 2 (Oxidation) SiO 2 (Oxidation) Silicon Silicon SiO 2 (Oxidation) Silicon Silicon The cost of TII double patterning can be only ~60% of the cost of SADP. Silicon

Sub Lithographic Hole Formation S. W. Kim et al. (UC Berkeley), Journal of Vacuum Science & Technology B, vol. 34, 040608, 2016

Future Work: 2D Patterning by TII 1. Coat IC layer with HM layer; < P min 2. Perform multiple litho+tii processes in sequence, such that each litho+tii process forms a latent 1D pattern in the HM layer; < P min /2 < P min /2 P min /2 < P min < Pmin/2 P min /2 P min /2 Implant Direction P min /2 A B 3. Selectively etch the HM layer to form the composite 2D pattern; P min /2 D C P min /2 4. Transfer the 2D pattern to the IC layer by a selective etch process. 36

Outline Transistor Scaling to the Limit Extending the Era of Moore s Law Summary

Summary There s still plenty of room for CMOS technology scaling! Advancements in transistor structures and materials will enable continued miniaturization and voltage scaling. Innovations to mitigate the challenge of growing cost of patterning are needed to extend the era of Moore s Law New Innovations Investment Lower Cost/Function Lower Power Market Growth 38

Acknowledgements TII Enhanced Lithography: Dr. Sang Wan Kim (now with Ajou University) Dr. Peng Zheng (now with Intel Corporation) Dr. Leonard Rubin (Axcelis Technologies) UC Berkeley Marvell Nanofabrication Laboratory Funding from Applied Materials, Lam Research, National Science Foundation 39

3 D NAND Flash Technology Vertical FETs (vfets): Poly Si is used as the semiconductor material. Lithography steps for multiple memory layers are shared. Density scaling is not driven by lithography. Aspect ratios of etched and filled features are large (>40:1). http://www.monolithic3d.com/uploads/6/0/5/5/6055488/695394.jpg?388 40

Heterogeneous Integration Enhanced performance & functionality in a compact form factor Separate layer fabrication processes Integrated fabrication process MEM relay Metal Insulator Interconnects CMOS layer J. J. Q. Lu et al., Future Fab Int l, Issue 23, 2007 Si substrate V. Pott et al., Proc. IEEE, Vol. 98, 2010 41

IC Technology Advancement D. C. Edelstein, 214th ECS Meeting, Abstract #2073, 2008 Intel s 14nm CMOS technology Time Advanced back end of line (BEOL) processes have air gapped interconnects S. Natarajan et al. (Intel), IEDM 2014 42

Reconfigurable Interconnect K. Kato et al., IEEE Electron Device Letters, vol. 37, no. 12, pp. 1563 1565, 2016. I/O 0 Program 0 Program 0 Program 0 Bit Line (BL) Movable electrode I/O 1 Program 1 Program 1 Program 1 A bi stable switch is implemented using multiple metal layers Vias are for electrical connection and flexural elements for a more compliant electrode, for lower programming voltage. Small footprint due to vertically oriented movable electrode, and shared actuation and contacting electrodes across the array A non linear device can be integrated to prevent sneak leakage current in a cross point array 43

LUT Performance Comparison K. Kato et al., IEEE Electron Device Letters, vol. 37, no. 12, pp. 1563 1565, 2016 Area (μm 2 ) 10 1 10 0 CMOS (F = 45nm) CMOS+NEMS (F = 20nm) 10 1 Readout delay (ns) 10 2 10 1 10 0 10 1 10 2 10 2 10 3 10 1 10 0 10 1 10 2 10 3 Program delay (ns) 10 5 10 4 10 3 104 10 3 10 2 Readout energy (fj) 10 1 102 10 1 10 0 Program energy (fj) More compact, faster, and energy efficient than CMOS! 44