Passionately Innovating With Customers To Create A Connected World

Similar documents
Thin Wafer Handling Debonding Mechanisms

LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG

EV Group. Enabling processes for 3D interposer. Dr. Thorsten Matthias EV Group

Thin Wafer Handling Challenges and Emerging Solutions

A Temporary Bonding and Debonding Technology for TSV Fabrication

1

Temporary Wafer Bonding - Key Technology for 3D-MEMS Integration

Hybrid Wafer Level Bonding for 3D IC

Multilayer Wiring Technology with Grinding Planarization of Dielectric Layer and Via Posts

Especial Bump Bonding Technique for Silicon Pixel Detectors

Next-Generation Packaging Technology for Space FPGAs

SHRINK. STACK. INTEGRATE.

1 INTRODUCTION 2 SAMPLE PREPARATIONS

XBC300 Gen2. Fully-automated debonder and Cleaner

EV Group. Engineered Substrates for future compound semiconductor devices

A Novel Approach to TSV Metallization based on Electrografted Copper Nucleation Layers. Claudio Truzzi, PhD Chief Technology Officer Alchimer

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

2017 IEEE 67th Electronic Components and Technology Conference

MICROCHIP MANUFACTURING by S. Wolf

Three Approaches for Nanopatterning

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis

Semi-Additive Process for Low Loss Build-Up Material in High Frequency Signal Transmission Substrates

WTSC144.xxx Wire Bonding Temperature Silicon Vertical Capacitor

FEM Analysis on Mechanical Stress of 2.5D Package Interposers

Chapter 10 3D Integration Based upon Dielectric Adhesive Bonding

Microsemi Power Modules. Reliability tests for Automotive application

Development of Lift-off Photoresists with Unique Bottom Profile

SEMICONDUCTOR PROCESSING With ONTOS ATMOSPHERIC PLASMA. Eric Schulte, February 2017

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks

Advances in Back-side Via Etching of SiC for GaN Device Applications

SUPPORTING INFORMATION. Si wire growth. Si wires were grown from Si(111) substrate that had a low miscut angle

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

Alternative deposition solution for cost reduction of TSV integration

Thermal aspects of 3D and 2.5D integration

Impact of BGA Warpage on Quality. Mike Varnau

Effect of Surface Contamination on Solid-State Bondability of Sn-Ag-Cu Bumps in Ambient Air

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Structuring and bonding of glass-wafers. Dr. Anke Sanz-Velasco

Drop Impact Reliability Test and Failure Analysis for Large Size High Density FOWLP Package on Package

Thermal Interface Materials (TIMs) for IC Cooling. Percy Chinoy

LPSC424.xxx Low Profile Silicon Capacitor

OPTIMIZATION OF DIELECTRICS SURFACE PREPARATION FOR VACUUM COATING

Study of Iso/Dense Bias of BARCs and Gap-Fill Materials on Via Wafers Runhui Huang, Brewer Science, Inc Brewer Dr., Rolla, MO 65401, USA

CVD: General considerations.

Superconducting Through-Silicon Vias for Quantum Integrated Circuits

Supplementary Information

Assessment of Soft Errors due to Alpha Emissions from Presolder on Flip Chip Devices Rick Wong, Shi-Jie Wen, Peng Su, Li Li 10/30/09

Reliability of 3D IC with Via-Middle TSV: Characterization and Modeling

Solliance. Perovskite based PV (PSC) Program. TKI Urban Energy Days l e d b y i m e c, E C N a n d T N O

TCAD Modeling of Stress Impact on Performance and Reliability

Postprint.

Avatrel Dielectric Polymers for Electronic Packaging

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

MICRO AND NANOPROCESSING TECHNOLOGIES

Resonance Reduction In PCBs Utilising Embedded Capacitance

Nordson MARCH Concord, CA, USA

Adhesion Improvement on Smooth Cu Wiring Surfaces of Printed Circuit Boards

Roll-to-roll Manufacturing in Electronics: Making it work!

FRAUNHOFER INSTITUTE FOR SURFACE ENGINEERING AND THIN FILMS IST ATMOSPHERIC PRESSURE PLASMA PROCESSES

Fabrication Technology, Part I

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

RS INDUSTRY LIMITED. RS Chip Single ESD Suppressor APPROVAL SHEET. Customer Information. Part No. : Model No. : COMPANY PURCHASE R&D

Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process

HBM fine pitch micro pillar grid array probing evaluation

Process Modeling and Thermal/Mechanical Behavior of ACA/ACF Type Flip-Chip Packages

High speed vacuum deposition of organic TFTs in a roll-to-roll facility

RS INDUSTRY LIMITED. RS Chip Array ESD Suppressor APPROVAL SHEET. Customer Information. Part No. : Model No. : COMPANY PURCHASE R&D

Critical Dimension Uniformity using Reticle Inspection Tool

A Cost and Yield Analysis of Wafer-to-wafer Bonding. Amy Palesko SavanSys Solutions LLC

Issue 88 October 2016

Model 2300XP PSL & Process-Particle Wafer Deposition System

MOCVD Carrier Emissivity and Temperature Uniformity Characterization

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing

Lithography and Etching

III V Semiconductor Etching Process Quality Rather Than Quantity. Alan Webb. (formally of Plessey, GEC, Marconi, Bookham, Oclaro etc...

Competitive Advantages of Ontos7 Atmospheric Plasma

AOS Semiconductor Product Reliability Report

Stress in Flip-Chip Solder Bumps due to Package Warpage -- Matt Pharr

Through-Wafer Interconnects for High Degree of Freedom MEMS Deformable Mirrors

BONDING PARAMETERS OPTIMIZATION IN LOW TEMPERATURE ADHESIVE WAFER BONDING PROCESS USING SU-8 AS AN INTERMEDIATE ADHESIVE LAYER

Plasma Deposition (Overview) Lecture 1

Bergquist The World Leader In Thermal Management Technology

3D Stacked Buck Converter with SrTiO 3 (STO) Capacitors on Silicon Interposer

SCB10H Series Pressure Elements PRODUCT FAMILY SPEFICIFATION. Doc. No B

AOS Semiconductor Product Reliability Report

SCI. Scientific Computing International. Scientific Computing International. FilmTek. Raising Thin Film Metrology Performance to a New Level

Introducing the RoVaCBE Flagship project: Roll-to-roll Vacuum-processed Carbon Based Electronics. Dr Hazel Assender, University of Oxford

Metal Oxide Film Resistors Axial Connections Metal Oxide Film Resistors 1/4W, 5% Components

Carrier Transport by Diffusion

Cypress Semiconductor Package Qualification Report

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

Developments in CMP and Impact on CMP Consumables

450 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 7, NO. 3, MARCH 2017

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Reliability assessment for Cu/Low-k structure based on bump shear modeling and simulation method

Intermetallic Compounds Formed at the Interface between Liquid Indium and Copper Substrates

Transcription:

Passionately Innovating With Customers To Create A Connected World Multi Die Integration Can Material Suppliers Meet the Challenge? Nov 14, 2012 Jeff Calvert - R&D Director, Advanced Packaging Technologies Dow Electronic Materials

Outline Introduction Market Trend Materials Needs and Challenges Key Materials Solutions - Examples Cu TSV Filling Temporary Wafer Bonding Adhesive Summary 2

Drivers for Multi-Die Integration Flip-chip, wafer-level, and 2.5D/3D packages are the market drivers for advanced packaging Key Drivers for 2.5D/3D Packaging: Cost and complexity of scaling ( More Moore ) Demand for Increased Performance and Functionality ( More than Moore ) 3D Packaging is a complex landscape of many different package architectures, integration approaches complexity in terms of diverse materials needs and insertion timing Global Roadmap for 3D Integration with TSV 3

Dow Materials for the 3DIC and WLP Market OVERLAP between FE / BE Supplied by Dow Semiconductor Technologies Supplied by Dow Advanced Packaging Technologies Supplied by Dow Metalorganic Technologies 4

Key Material Challenges for 3D Packaging High AR Cu via filling, planarization Fine pitch bump metallization (solder, Cu pillar) Low stress/low cure temperature dielectrics Improved bond/de-bond adhesives New underfill technology Thermal management 5

Cu TSV Development Overview INTERLINK TM 9200 Cu TSV Plating Bath was developed to address: 1) Void-free filling of 5-20µm diameter vias, AR 5-10 2) Defect-free, low overburden deposits R&D Formulation Development OEM POR Customer Evaluation Cu TSV Plating Bath Development Chemistry Optimization: Gap filling, defects, OB, deposit morphology 300mm Process Development, Customer Demos Fab Testing, Qualification TSV Array: 20x115µm... Diameter,...to...6x65µm um: 21 20 19 12 11 10 8 7 6 Chemistry is designed for enhanced filling of TSV features, targeting a wide range of via diameters and ARs 6

INTERLINK 9200 Cu TSV Plating Bath Components Electrolyte: Copper Sulfate/Sulfuric Acid based IL9200 Electrolyte: 60 g/l Cu, 50 g/l Sulfuric Acid, 80 ppm Cl - 3-component Additive System Interlink 9200 Accelerator: Electrocatalyst for bottom-up filling Interlink 9200 Suppressor: Suppresses deposition in field, along sidewalls Interlink Leveler: Minimizes local mounding over feature arrays to enhance planarization Interlink 9200 Pre-Wet Solution Optional vacuum/immersion process to expel air and wet seed layer If DI wafer pre-wet is not sufficient 7

TSV Cu Via Filling: 5 x 50µm Features Partial Filling Sequence Gap Filling Speed Tests in Dow Membrane Cell 14.93 min 13.55 min 11.00 min Test vehicles courtesy of Applied Materials Strong polarization at feature opening and sidewall suppression leads to optimum gapfilling performance Excellent kinetics of fill at times of 15min or less 8

TSV Cu Via Filling: 10 x 100µm Features Partial Filling Sequence 1.5kÅ Fill 3kÅ Fill 5kÅ Fill 7.5kÅ Fill Gap Filling Speed Test in Dow Membrane Cell 1.5µm Fill (38 min) Applied Materials Confidential Test vehicles courtesy of Applied Materials Excellent gap filling kinetics for 10x100µm vias Strong bottom-up filling Complete filling achieved with 38 min plating cycle 9

Overburden Total Cu deposition = 1.5µm deposition Measured overburden = 0.80µm R a ~10nm or less for a 1.5-2.0µm for Cu deposit Smooth, defect -free surface Low surface mounding over arrays 10

Cu TSVs Annealed at 400 o C for 30 min 5x50µm 10x100µm Images used compliments of Applied Materials Excellent morphology observed with large full-width Cu grains Void-free post anneal film high purity Cu deposit 11

Cu TSV Plating Bath Summary New product developed for Cu TSV Viafilling Excellent filling performance for interposer and via middle applications Fast filling times and low overburden lower CoO Void-free filling, low defects, high purity deposit high reliability/yield 300mm POR development underway at equipment vendors 12

Key Material Challenges for 3D Packaging High AR Cu via filling, planarization Fine pitch bump metallization (solder, Cu pillar) Low stress/low cure temperature dielectrics Improved bond/de-bond adhesives New underfill technology Thermal management 13

Key Features of XP-BCB Temporary Bonding Adhesive Based on BCB resin technology: Well-established in manufacturing as a permanent bonding adhesive material High thermal stability, <1.0% wt loss/hr @ 300 o C, T g >400 o C Resistant to most chemical etchants, solvents and strippers Excellent planarization over topography, low melt viscosity, Void-free bonding, low temperature cure (200-230 o C) BCB platform known to be compatible with FBEOL processing, including backside grinding and plasma etching Added features of new product for temporary bonding application: Single coatings 50µm, Double coating 100µm Differential interfacial adhesion, tunable fracture energy Clean, RT mechanical debonding from bumped die (Cu Pillar, C4 bump) 14

XP-BCB Temporary Bonding Adhesive Process Flow Device Wafer with Solder Balls 760µm thick Carrier Wafer Spin-on adhesive bonding layer XP-BCB Spin-on adhesion promoter Bond Wafers Thin and backside process device wafer Room temperature mechanical lift off Thinned Device Wafer 20-100µm thick 15

XP-BCB Two-Layer Coating Process Example Coating Process Blank Wafer Bumped Wafer Center Dispense 1 ST Coating Spin-On Soft-Bake 2 min @ 120 o C After soft-bake Center Dispense 1.4 um 2 nd Coating Spin-On Soft-Bake 2 min @ 120 o C *4.5 mm scan Target Thickness ~ 80 um Soft Cure Bake ~10 min @ 140 o C Thickness - 74.5 µm Std. Dev% - 1.03% 16

Bonding Process for XP-BCB Apply Adhesion Promoter onto carrier wafer, 90 o C/90s Spincoat XP-BCB temporary bonding adhesive onto active die wafer,120 o C/120s Pressure Carrier Wafer Adhesion Promoter Vacuum XP BCB Active Die Wafer 1. Heat active die wafer to melt temperature 80-150 o C < 5 min 2. Evacuate chamber, < 1 mtorr 3. Contact carrier wafer to active die wafer 4. Bond wafers at 0N to 300N 5. After bonding, cure off-line 200 o C/100min or 210 o C/60min Heat 17

XP-BCB After Bonding and Thinning (Full Wafer) Edge of wafer Edge of wafer Edge-on image of wafer pair No squeeze-out after bonding Void free bonded wafer pair imaged by CSAM of 300mm Bonded film is stable to 325 o C/1hr (N 2 atmosphere) No void formation by CSAM Image after back grinding 50mm (thin) 200mm wafer pair no edge chipping or delamination 18

Debonding Process Vacuum Chuck or Mechanical Grip Carrier AP-3000 XP BCB Active Device Wedge Off/Open Process Debonding occurs by insertion of a thin wedge at the temporary bonding adhesive layer, resulting in clean release from the active die surface with low applied force PET Film Dicing Frame Vacuum Chuck 19

Blank Wafer Debonding Example XP-BCB separated cleanly from the active die wafer to the carrier wafer during wedge-off debonding process 300mm wafers (full thickness) Carrier Wafer Active Die Wafer (full thickness) 20

Debonding from SnAg Solder Bumped Die (Wafer Section) Carrier AP-3000 XP BCB Active Device Carrier AP-3000 XP BCB Clean release from dense array of 90µm solder bumps Active Device 21

XP-BCB Temporary Bonding Adhesive Summary XP-BCB is a modified formulation, based on BCB. BCB is well-known to be an effective permanent bonding adhesive material As a temporary bonding adhesive, XP-BCB has demonstrated: Good coating uniformity, void-free bonding, high thermal stability High resistance to chemical and plasma processing steps Tunable fracture energy, differential interfacial adhesion Withstands backgrinding to 50µm Clean debonding from bumped wafers with no apparent residue Initial coat/bond/debond feasibility demonstrated wafers using 300mm production toolsets Work is in progress to develop a POR using 300mm bumped wafers through backside integration 22

Overall Summary 2.5D/3D is a complex landscape with many different materials requirements Key areas with emerging materials needs include: via formation/filling, wafer thinning, wafer handling (bonding/debonding), assembly, redistribution, etc. Materials suppliers have successfully utilized existing material platforms to develop new products customized for these applications Examples: TSV Cu filling, Temporary Bonding Adhesive Continuous improvement needed to improve CoO Increase yield, throughput Reduce process complexity 23

Registered Trademark of The Dow Chemical Company. Thank You