Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas

Similar documents
Plasma Technology September 15, 2005 A UC Discovery Project

Plasma Technology. FLCC Workshop & Review September 13, 2006 FLCC

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

ETCHING Chapter 10. Mask. Photoresist

Reactive Ion Etching (RIE)

Section 3: Etching. Jaeger Chapter 2 Reader

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching: Basic Terminology

Feature-level Compensation & Control

LECTURE 5 SUMMARY OF KEY IDEAS

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases

EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS *

Plasma Team s Focus IMPACT 1. Sponsors. Graves Beam and MD. Lieberman PIC-MCC. Chang Beam and DSMC. Reactor Scale Model

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

Across-wafer CD Uniformity Enhancement through Control of Multi-zone PEB Profiles

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

Chapter 7 Plasma Basic

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials

FRAUNHOFER IISB STRUCTURE SIMULATION

Wet and Dry Etching. Theory

EE C245 ME C218 Introduction to MEMS Design Fall 2007

Chapter 7. Plasma Basics

Etching Capabilities at Harvard CNS. March 2008

Plasma etch control by means of physical plasma parameter measurement with HERCULES Sematech AEC/APC Symposium X

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

Etching Applications and Discoveries Made Possible by Advanced Ion Energy Control

Plasma atomic layer etching using conventional plasma equipment

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

Introduction to Plasma Etching

2D Hybrid Fluid-Analytical Model of Inductive/Capacitive Plasma Discharges

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

Device Fabrication: Etch

DOE WEB SEMINAR,

SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a)

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

Profile simulation of gas chopping based etching processes

SIMULATIONS OF ECR PROCESSING SYSTEMS SUSTAINED BY AZIMUTHAL MICROWAVE TE(0,n) MODES*

CHAPTER 6: Etching. Chapter 6 1

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas

H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou University of Houston. November 2, AVS 58h International Symposium, Nashville, TN, USA

Plasma Deposition (Overview) Lecture 1

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

EE C245 ME C218 Introduction to MEMS Design Fall 2007

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL

Chapter 6. Summary and Conclusions

EE C245 ME C218 Introduction to MEMS Design Fall 2010

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)

CONTROL OF UNIFORMITY IN CAPACITIVELY COUPLED PLASMAS CONSIDERING EDGE EFFECTS*

Lecture 0: Introduction

CVD: General considerations.

Taurus-Topography. Topography Modeling for IC Technology

Chapter 2 FABRICATION PROCEDURE AND TESTING SETUP. Our group has been working on the III-V epitaxy light emitting materials which could be

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013

Nanopantography: A method for parallel writing of etched and deposited nanopatterns

Chapter 8 Ion Implantation

Plasma Etch Tool Gap Distance DOE Final Report

Regents of the University of California

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Nano-sized ceria abrasive for advanced polishing applications in IC manufacturing

Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I.

PRINCIPLES OF PLASMA PROCESSING Course Notes: Prof. J. P. Chang PART B6: PLASMA SURFACE KINETICS

Chapter 9, Etch. Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm

MODELING OF AN ECR SOURCE FOR MATERIALS PROCESSING USING A TWO DIMENSIONAL HYBRID PLASMA EQUIPMENT MODEL. Ron L. Kinder and Mark J.

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher

Feature-level Compensation & Control. Process Integration September 15, A UC Discovery Project

Chapter 3 Basics Semiconductor Devices and Processing

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma

65 th GEC, October 22-26, 2012

SPUTTER-WIND HEATING IN IONIZED METAL PVD+

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

EECS C245 ME C218 Midterm Exam

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma

ELEC 7364 Lecture Notes Summer Etching. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA

Simulation of Ion Beam Etching of Patterned Nanometer-scale Magnetic Structures for High-Density Storage Applications

Nanometer Ceria Slurries for Front-End CMP Applications, Extendable to 65nm Technology Node and Beyond

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

SPCC Department of Bio-Nano Technology and 2 Materials Science and Chemical Engineering, Hanyang University, Ansan, 15588, Republic of Korea.

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Lithography and Etching

EE C247B / ME C218 INTRODUCTION TO MEMS DESIGN SPRING 2016 C. NGUYEN PROBLEM SET #4

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4

課程名稱 : 微製造技術 Microfabrication Technology. 授課教師 : 王東安 Lecture 6 Etching

Film Deposition Part 1

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6

Introduction to Low Pressure Glow Discharges for Semiconductor Manufacturing with special Emphasis on Plasma Etching. Gerhard Spitzlsperger

Accelerated Neutral Atom Beam Processing of Ultra-thin Membranes to Enhance EUV Transmittance. February 22, 2015

Effect of Noble Gas. Plasma Processing Laboratory University of Houston. Acknowledgements: DoE Plasma Science Center and NSF

Technology for Micro- and Nanostructures Micro- and Nanotechnology

Fabrication Technology, Part I

Transcription:

1 Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas Presentation November 14, 2005 Jane P. Chang and John Hoang Department of Chemical and Biomolecular Engineering University of California, Los Angeles Plasma

2 Motivation Feature Scale Modeling Combining accurate descriptions of plasma fluxes to quantitatively predict the feature profile evolution during etching/deposition processes Enabling process development by shorting the experimental time and cost Feature scale model can be coupled to tool scale (e.g. Prof. Graves, UCB) Feature scale model can be coupled with PIC/MC model (Prof. Lieberman, UCB) Shallow Trench Isolation (STI) An enabling technology over local oxidation of (LOCOS) since the 0.18 µm node A lower temperature process avoiding annealing used for thermal oxidation A promising technology for even smaller dimensions with properly developed lithography, etch, and gap-fill technology Plasma

3 Critical Issues in Feature Scale Models Accurate reaction kinetics model Systematic beam measurement Carefully designed design of experiments Robust incorporation of competing surface mechanisms Etching vs. deposition Specular vs. non-specular scattering Elemental balance on etching surfaces Realistic etching profiles for validation SEM Plasma

Competing Mechanisms during Etching Processes 2 e 2 e e 2e 2 4 e 2 e bulk plasma e- e- e - e - e- 2 e - } 2 E sheath e _ mask Remove thin film directionally Profile evolution affected by etching and deposition Plasma 4 4 4 O 2 poly- oxide

Multiple Beam Apparatus Mass Spectrometer Poly- or oxide thin film Etchants (, 2 ) TC Ions (30-100 ev Ar, ) Inhibitors (C, 2 ) Reflectance Time He-Ne Laser Independent variation of ionic and radical fluxes Controllable flux levels within an order of magnitude of what typically used in high density plasma processes Etching Yield = f ( Ion, Etchant, Inhibitor, E ion, φ ion... ) Plasma

Energy Dependence ( and ) 4 ion-enhanced 75eV / etching yield with ( Eion Eth ) Dotted lines are Etching Yield 3 2 55eV / model fits, as detailed later 1 35eV / 0 0 100 200 300 400 Plasma Flux Ratio

Etching Yield by vs. 2 ( 55 ev ) 3 milar etching yield at sufficient 55eV / high flux Much higher etching yield with atomic chlorine at Etching Yield 2 1 55eV / 2 low flux ( higher flux ratio ) 0 0 100 200 300 400 Flux Ratio Plasma or 2

Angular Dependence Result ( 35eV and fluxes ) 1.5 φ 1.2 Normal Etching Yield 0.9 0.6 Lower etching yield at high off-normal ion incident angle 0.3 0 0 100 200 300 400 Plasma Flux Ratio 70 o off-normal

Angular Dependence Result ( 35eV /, Flux Ratio = 200) 1.2 polynomial fit Maximum yield at normal ion incident angle Yield (φ) = c (φ) * Yield (φ = 0 o ) φ Etching Yield 0.8 0.4 physical sputtering 0.0 0 30 60 90 Plasma Ion incident angle φ (degree from normal)

Surface Kinetic Model Chlorination : Sorption of Chlorine ion: Ion-enhanced etching: s ( g ) ( s) c(φ) ( g ) ( s) c(φ)β ( s) 4 ( s) 4( g ) 4 ER θ Y = c( φ ) β θ 1 Y c( φ ) β θ = s R c( φ ) = s R c( φ ) 4 c( φ ) β 1 = c( φ ) β 1 c( φ ) β 4 s R c( φ ) 4 1 s R Plasma I β 5 4 3 2 1 0 1.5 1.2 0.9 0.6 0.3 0 0 3 6 9 12 15 E ion s

Energy Dependence and Effect of Redeposition ( and ) 4 75eV / 1.2 e 4 2 2 3 Etching Yield 2 1 55eV / 35eV / 80 ev (Lam TCP) Etching Yield 0.8 0.4 / = 120 with 2 alone with 2 0 0 100 200 300 400 Flux Ratio 0 0 10 20 30 Flux Ratio 2 Good agreement with the etching yield measurement in Lam TCP gnificant reduction in etching yield due to redeposition Plasma

4 Selectivity Poly- vs. Oxide (100 ev Ar and ) 0.4 4 Angular Dependency φ PR poly oxide 0.2 3 Poly 0.3 3 Poly Yield 2 0.2 2 0.1 1 Oxide 0.1 1 Oxide 0 0 50 100 150 200 Etching selectivity ~ 30 Flux Ratio Ar 0.0 Plasma Ion incident angle φ (degree from normal) Distinct angular dependencies between etching of poly and oxide 0 0 30 60 90 0

Ar // Ar //O 2 Ar Ar 4 \/ \/ / \ / \ / \ / \ / \ / \ / \ / \ / \ / \ / \ / \ / \ / O O O O O O O O O O O O incorporation caused by knock-on and spontaneous reaction with Etching scales with surface energy deposition Plasma more confined to the top surface due to the lack of spontaneous reaction with O 2 Etching scales with sputtering of and O

Etching of Patterned Polysilicon Wafers Line width: 0.5 µm and 0.35 µm Photo-resist: Apex-E ( Deep-UV resist ) Orientation w.r.t. and beams: Un-shadowed Shadowed Plasma

Feature Profile etched with 35eV / ( / flux ratio = 200 ) PR poly- PR poly- O 2 O 2 Un-shadowed Shadowed Unique etching structure suitable for profile modeling confirmation Plasma

Monte Carlo mulation of Surface Evolution Source plane mulation domain: o 20-50 A grid size (~ mixing length) Transport of species in grid-length steps Surface Reaction: Reaction probability based on model Elemental balance Multiple interaction possible PR poly- Surface advancement Grid cells removal and/or addition Rigorous incorporation of all the physics and chemistry Computationally robust and straightforward O 2 Plasma

Monte Carlo mulation of Surface Interactions Surface Reactions: 4 ( g ) ( g ) ( s) 4 ( s) 4( g ) ( s) ( s) 4 Scattering: Ions: specular scattering Neutrals: diffusive scattering Surface composition determined by elemental species balance Surface reactions occur with measured probabilities Plasma

Etching of Patterned Polysilicon Wafers ( 35eV and, Flux Ratio = 200) 1.0 0.0 0.5 0.5 recombination probability of on mask, shadowed orientation Unique etching structure modeled by simulator Plasma

Effect of Ion Scattering oxide poly- oxide Non-directional ion distribution (10 o FWHM) Trenching formation due to ion scattering Plasma

Effect of Deposition/Redeposition photoresist C 2 poly- oxide Non-directional ion distribution (10 o FWHM) Trenching formation reduced due to deposition/redeposition of etching products Plasma

21 ITRS dictates stringent conditions for optimal trench isolation as minimum feature size decreases Positive trench tapering angles desired to avoid sharp recesses leading to poly wrap-around Smooth sidewalls needed for less physical and electrical damage Round bottom corners to minimize stress and avoid voids in gapfill tx 1 (nitride ) tx 2 (top ) tx 3 (bot ) SEM Measured Parameters D 1 D 2 D 3 D 4 STI Etching Process Nitride SWA Total Depth top SWA bot SWA PR nitride oxide licon Desired Properties: D 4 > D 2 /2 Shallow Trench Isolation (STI) Isolation stack dewall oxidation and deposit trench oxide Pattern nitride and strip PR CMP planarization θ nitride = 90º arctan[(d 1 -D 2 )/2/tx 1 ] θ top = 90º arctan[(d 2 -D 3 )/2/tx 2 ] θ bot = 90º arctan[(d 3 -D 4 )/2/tx 3 ] Trench etch Strip nitride and remove pad oxide SWA: sidewall angle Adapted from ITRS 2003 Thermal Films Supplemental Plasma Recess < 0.1 D 2 Curvature: r Nitride top = r bottom = 0.1 D 2

22 AMAT DP SII Reactor Setup I outer I inner Coil Power W s W s Parameters examined for STI etch Chamber Pressure (mtorr) Source Power (W s ) Wafer bias (W bias ) 2 N 2 O 2 Pressure DC ratio = I outer /I inner 2 flowrate (sccm) N 2 flowrate (sccm) Substrate Bias W bias O 2 flowrate (sccm) Plasma

Fractional Factorial DOE x N y etch DOE etch DOE Pressure (mt) W s (W) W b (W) BS He (sccm) CF 4 (sccm) Ar (sccm) ID 1 0 0 0 0 0 0 0 2 - - - - 3 - - - - 4 - - - - 5 6 - - - 7 - - - - 8 - - - - 9 - - - 10 - - - - 11 - - - 12 - - - - 13 - - - - - - - 14 - - - 15 - - - 16 - - - 17 - - - CHF 3 (sccm) 23 Plasma Pressure (mt) W s (W) W b (W) DC ratio ID 1 - - - - - - - 2 - - - - 3 - - - - 4 - - - 5 - - - 6 - - - 7 - - - - 8 - - - - 9 - - - 10 - - - - 11 - - - - 12 - - - 13 - - - 14 - - - 15 - - - - 16 7 factors, 2 levels, and 16 experiments performed for both etch targets 2 (sccm) N 2 (sccm) O 2 (sccm) Nitride etch: pressure was determined to be the statistically significant effect etch: pressure and DC ratio had statistically significant effects

24 Source plane Periodic boundary conditions Mask (N x ) licon Monte Carlo mulation n or 4 mulation domain: 10-50 Å grid size Ions specularly scatter Neutrals diffusively scatter Surface composition determined by elemental balance Surface reactions occur with measured probabilities Transport of species in grid lengths Surface reaction: reaction probability based on kinetic models elemental balances multiple interactions possible Surface advancement: grid cells removed and/or added Rigorous incorporation of all physics and chemistry Computationally robust and straight-forward Plasma

25 Etching Chlorination: Sorption of Chlorine ion: Ion-enhanced etching: 2 Deposition: Oxygenation: Sputtering: Sorption of sputtered : Recombination of chlorine: Surface Reaction Kinetics Model s 0 (1 ζ ζ O ) ( g ) ( s) c( φ) ( g) ( s) 4 4 c( φ) β ( s) ( s) 4( g) 3 0 s 2 2 2( g) ( s) ( s) so 0 (1 ζ ζo) O () g () s Y SP () s ( g) s0 ( g) ( s) r 2 ( g) ( s) ( g) O Plasma (implemented) (implemented) (implemented) (in development) (in development) (in development) (in development) (implemented) Nitride Etching Implemented only etch selectivity and angular dependence due to limited kinetic measurements during the etching of nitride in CF 4 /Ar/CHF 3 plasma Experimentally determined reaction kinetics model enables predictable feature profile evolution

26 Elemental Balance in Cells Source plane n Periodic boundary conditions Mask (N x ) N O licon provides available sites Etchant such as leads to the formation of volatile products Reactant such as O leads to the oxidation thus changing the etching characteristics Deposition of 2 and will add sites Plasma

27 Major Enhancements in mulation Implemented ion etching yield dependence as a function of ion energy Implemented ion energy distribution function (to be enhanced with real experimental or plasma simulation results) Collaboration with Graves and Lieberman Etching Yield (/) number of ions 10.0 9.0 8.0 7.0 6.0 5.0 4.0 3.0 2.0 235eV 195eV 155eV 115eV 75eV 55eV 1.0 35eV 0.0 0 50 100 150 200 250 300 350 400 Flux Ratio (/ ) 500 400 300 200 100 Gas cell Solid interface cell Solid noninterface cell Least squares method normals Implemented sloped mask Determined surface normals using least squares regression fit to center of cells considered effective rounding of corners Plasma 0 0 50 100 150 200 250 300 350 Ion energy (ev)

28 mulation Details Parameters affecting profile evolution: plasma chemistry ( 2, HBr, O 2, ) plasma composition (, 2,, O, ) electron temperature and distribution (T e and EEDF n i, n n, ) substrate bias (W s E ion ) substrate temperature (T sub ) Baseline Conditions: initial aspect ratio: 0.55 ion angular distribution (IAD) FWHM: 5.3º ion energy distribution (IED) FWHM: 23.5 ev ion energy: 200 ev neutral to ion ratio: 100 selectivity of nitride to : 33.3 Plasma

29 mulator Capabilities Deposition Spontaneous Etching Micro-Trenches Bowing Effect of Selectivity Effect of Mask Angle Plasma

30 Comparison of mulation with Experiments DOE 205-06 DOE 205-07 pressure (mtorr) 45 25 Ws (W) 500 350 Wb (W) 150 250 DC ratio 30 30 2 (sccm) 140 140 N2 (sccm) 30 30 O2 (sccm) 25 25 milar plasma densities Substrate bias governs the etch depth DOE 205-10 DOE 205-14 pressure (mtorr) 45 25 Ws (W) 350 500 Wb (W) 150 250 DC ratio 11 11 2 (sccm) 140 140 N2 (sccm) 60 60 O2 (sccm) 25 25 High density versus low density plasmas Plasma composition controls profile evolution mulation on-going Plasma (significantly different sidewall slope could be due to a change in plasma composition)

31 Future Goals Determine plasma gas phase chemistry experimentally Validate the simulation results with specially planned additional experiments Enhance current simulator by including feature charging and simultaneous deposition and etching Correlate plasma operating parameters to simulation input profiles to allow a more direction comparison of the simulation results to experiment outcomes Special Acknowledgements: Helena Stadniychuk and Andrey Zagrebelny at Cypress Acknowledgment Funded by Advanced Micro Devices, Applied Materials, ASML, Atmel, Cadence, Canon, Cymer, Cypress, DuPont, Ebara, Hitachi Global Storage Technologies, Intel, KLA-Tencor, Mentor Graphics, Nikon Research, Novellus Systems, Panoramic Technologies, Photronics, Synopsys, Tokyo Electron, and the UC Discovery Grant. Plasma