New plasma technologies for atomic scale precision etching

Similar documents
Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

ETCHING Chapter 10. Mask. Photoresist

Patterning Challenges and Opportunities: Etch and Film

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

Fabrication Technology, Part I

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

DOE WEB SEMINAR,

Effect of Noble Gas. Plasma Processing Laboratory University of Houston. Acknowledgements: DoE Plasma Science Center and NSF

EFFECT OF REACTOR GEOMETRY ON ION ENERGY DISTRIBUTIONS FOR PULSED PLASMA DOPING (P 2 LAD)*

P. Diomede, D. J. Economou and V. M. Donnelly Plasma Processing Laboratory, University of Houston

Plasma Deposition (Overview) Lecture 1

CVD: General considerations.

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea

A global (volume averaged) model of a chlorine discharge

Etching: Basic Terminology

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL

CHAPTER 6: Etching. Chapter 6 1

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

Plasma atomic layer etching using conventional plasma equipment

Reactive Ion Etching (RIE)

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

LECTURE 5 SUMMARY OF KEY IDEAS

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma

Robert A. Meger Richard F. Fernster Martin Lampe W. M. Manheimer NOTICE

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials

EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS *

Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development

Control of Ion Energy Distributions on Plasma Electrodes

H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou University of Houston. November 2, AVS 58h International Symposium, Nashville, TN, USA

MOSFET: Introduction

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

Lecture 04 Review of MOSFET

MODELING OF AN ECR SOURCE FOR MATERIALS PROCESSING USING A TWO DIMENSIONAL HYBRID PLASMA EQUIPMENT MODEL. Ron L. Kinder and Mark J.

PLASMA immersion ion implantation (PIII) is a fledgling

Physique des plasmas radiofréquence Pascal Chabert

Section 12: Intro to Devices

Wet and Dry Etching. Theory

Section 3: Etching. Jaeger Chapter 2 Reader

Feature-level Compensation & Control

MICROCHIP MANUFACTURING by S. Wolf

Etching Applications and Discoveries Made Possible by Advanced Ion Energy Control

Chapter 6. Summary and Conclusions

an introduction to Semiconductor Devices

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory

Chapter 7 Plasma Basic

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa

Section 12: Intro to Devices

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Shapes of agglomerates in plasma etching reactors

SPUTTER-WIND HEATING IN IONIZED METAL PVD+

Chemical Vapor Deposition (CVD)

In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

Plasma Technology September 15, 2005 A UC Discovery Project

CMOS Scaling. Two motivations to scale down. Faster transistors, both digital and analog. To pack more functionality per area. Lower the cost!

Capacitance-Voltage characteristics of nanowire trigate MOSFET considering wave functionpenetration

Quantum Mechanical Simulation for Ultra-thin High-k Gate Dielectrics Metal Oxide Semiconductor Field Effect Transistors

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

L ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher

Lecture 8. Detectors for Ionizing Particles

Chapter 7. Plasma Basics

Evaluation of the plasmaless gaseous etching process

SIMULATIONS OF ECR PROCESSING SYSTEMS SUSTAINED BY AZIMUTHAL MICROWAVE TE(0,n) MODES*

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

Plasma etching. Bibliography

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Film Deposition Part 1

PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

EE130: Integrated Circuit Devices

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

nmos IC Design Report Module: EEE 112

I. INTRODUCTION. 127 J. Vac. Sci. Technol. B 15(1), Jan/Feb X/97/15(1)/127/6/$ American Vacuum Society 127

Hotwire-assisted Atomic Layer Deposition of Pure Metals and Metal Nitrides

Fundamentals of the Metal Oxide Semiconductor Field-Effect Transistor

ELEN0037 Microelectronic IC Design. Prof. Dr. Michael Kraft

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

Microelectronics Part 1: Main CMOS circuits design rules

K. Takechi a) and M. A. Lieberman Department of Electrical Engineering and Computer Sciences, University of California, Berkeley, California 94720

Introduction to Thin Film Processing

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Nanopantography: A method for parallel writing of etched and deposited nanopatterns

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition

Scaling Issues in Planar FET: Dual Gate FET and FinFETs

High-Precision Evaluation of Ultra-Shallow Impurity Profiles by Secondary Ion Mass Spectrometry

Part 5: Quantum Effects in MOS Devices

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Graphene devices and integration: A primer on challenges

ABSTRACT COMPARISON OF ADVANCED RESIST ETCHING IN E-BEAM GENERATED PLASMAS

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

EE410 vs. Advanced CMOS Structures

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages:

Transcription:

New plasma technologies for atomic scale precision etching Erwine Pargon Laboratoire des Technologies de la Microélectronique (LTM/CNRS), Grenoble Journées des réseaux plasma froids 17-20 octobre 2016, La Rochelle

Outline I. Introduction II. Limitations of current plasma technologies III. Atomic layer etching concept : ALE IV. From lab to fab 2

From consumer devices to CMOS technologies 300mm Si wafer Integrated Circuits (ICs) M9 M8 M7 M6 M5 M4 M3 M2 M1 Cross section observation of an Integrated circuit Interconnections métalliques Transistors MOS MOS transistor 3

MOS transistor: architecture and principle Interrupteur ouvert Interrupteur fermé C ox o d ox ox S Spacer Oxide Gate Vg CD < > Vth Vs Vd >0 Gate Source n * (Transistor n-mos) Channel Drainn Si Substrate p Electrons Trous I on W CD C ox V CD: critical dimension (channel length) W : channel width μ : carrier mobility g V 2 th 2 I on Leakage current I off C ox : oxide gate capacitance Vg : Gate voltage Vth : threshold voltage Increase MOS performance relies on our ability to decrease its dimension 4

The need for atomic precision etching for today s devices Plasma Technologies must allow the patterning of ultra-thin layers of materials integrated in complex 2D or 3D architectures with atomic precision etching. FD SOI devices (2D) (Node sub-20nm) Fin FET devices (3D) (Node sub-20nm) Sub-20nm FiN Source CD~20nm Gate W~15nm SOI Si bulk 5nm Fin (Channel) FiN Intel Fin Drain Gate all around (Node 5-3nm) Main challenges: Ability to control the profile of the patterns: anisotropy (CD control requirement < 1 nm!) Good selectivity between layers No plasma induced damage 5

Outline I. Introduction II. Limitations of current plasma technologies III. Atomic layer etching concept : ALE IV. From lab to fab 6

Inductive Coupled Plasma reactor (ICP) Semiconductor/metal etching are typically achieved in high-density ICP reactor RF power : control i and N via n e Wafer Independent control of ion energy and ion flux BUT: Impossible to control the N / i ratio! Ion energy range is restricted: 15 200 ev V p = T e /2*log(M i /2 m e ) ~ 5kT e About 15 ev for Te=3eV RF power 2 (Control ion energy E i ) Conventional plasma reach their intrinsic limits Need of a major breakthrough 7

Limitation of typical ICP plasmas in terms of selectivity: ion induced damages Typical issue: Si-recess in FDSOI transistors during gate etching PolySi Gate etching in HBr/O 2 plasma PolySi Gate + + + PolySi Gate + + + SiO 2 BOX Thin Oxide gate (1.1 nm) Si channel Si channel BOX Highly selective over SiO 2 oxide gate But H+ and O+ penetration through the oxide gate leads to Si channel oxidation 1.8 nm Today s etching processes are not adapted to ultrathin layers 8

The ion/radical synergy: a limitation for atomic precision etching Plasma Cl 2 Cl 2 + e - Cl + d SiClx Masqu e Si SiCl 4 SiO 2 During plasma etching, the surface is bombarded simultaneously and continuously by fluxes of radicals and energetic ions. Incoming ions and neutral species blur the surface forming a thick mixed reactive layer. In this way, energetic ions work in synergy with neutral chemical species from the plasma to remove film from the wafer surface. 9

Importance of reactive layer thickness for atomic precision etching Reactive layer Damage of the underneath Si substrate Silicon etching proceeds through the reactive layer propagation Even if the etch selectivity between materials is high, etch precision can be lost if the ion induced mixed layer (reactive layer SiClx layer in this example) is thicker than etch stop layer (thin oxide layer). Damage and etching are convoluted 10

Importance of reactive layer thickness for atomic precision etching Reactive layer Damage of the underneath Si substrate Silicon etching proceeds through the reactive layer propagation For atomic precision etching: the reactive layer thickness must be minimized and ideally must be thinner than the etch stop layer thickness Ideal case: Reactive layer thickness = one atomic layer 11

Key parameter for controlling the reactive layer : ion energy P. Brichon, E. Despiau-Pujo, J. Appl. Phys. 118, 053303 (2015) e SiClx (Å) 20 15 10 5 5eV simulation MD exp Layadi et al. 1 exp LTM 2 10eV 25eV 50eV 100eV 0 1 2 3 4 5 10eV Fluence Cl + = 3,5.10 15 ions/cm 2 Cl/Cl + - Γ n /Γ i = 100 25eV 50eV 100eV depth (nm) 0 2 4 6 8 10 E ion (ev 1/2 ) Experimental conditions: 1 plasma Cl 2 CW Helicon, 300Ws, 4mTorr 1 Layadi et al., JAP, 81, 6738 (1997) 2 plasma Cl 2 CW-ICP, 600Ws, 5mTorr 2 O. Mourey, thèse en cours au LTM The reactive layer thickness is driven by the ion energy Necessity to work at very low energy to etch ultrathin layers (<25eV) 12

Limitation of typical ICP plasmas in terms of CD control and uniformity In conventionnal plasma etching, etch rates and profiles are strongly dependent on the fluxes of reactive species and ions that arrive on the surface A small species gradient will generate local non uniformity such as ARDE or microloading Microloading ARDE Thicker passivation layer Cl Cl Semi isolated Dense Such transport-limited phenomena compromise the atomic precision etching The limitations of current plasma technologies for CD control at the atomic scale originate from the impossibility to control independently of the fluxes of radicals or ion bombarding the surface. 13

Outline I. Introduction II. Limitations of current plasma technologies III. Atomic layer etching concept : ALE IV. From lab to fab 14

ALE concept* : a way to achieve atomic precision etching ALE is a technique that removes thin layers of material using 2 sequential selflimiting and independent reactions. Surface to be etched Step1: Modification Step 2: Removal End and repeat Self limiting Self limiting Cycle repetition Step 1: Modification forms a thin reactive surface layer with a well defined thickness Self-limited reaction: the formation of the modified layer stops when the surface is saturated with reactants Step 2: The removal step takes away the modified layer while keeping the underlying substrate intact Self-limited reaction: the reaction stops when the modified layer is entierely consummed The surface is resetted to a pristine or near-pristine state for the next etching cycle. *M. N. Yoder, U.S. patent 4,756,794 (12 July 1988). 15

ALE offers fundamental advantage for atomic precision The ALE concept allows to overcome the intrinsic limitations of «plasma etching fundamentals» because of: 1. Separation into a sequence of independent unit process reactions 2. Self-limited reactions Consequences on : 1. Surface damage Atomically smooth surface after modification Flat, smooth surface, Same composition after removal 2. Uniformity, CD control, ARDE Identical surface coverage at both the wafer and pattern scale during the modification Same etch depth and profile for any patterns on the wafer 16

ALE concept : a way to control independently neutral and ion flux S. D. Athavale,J. Vac. Sci. Technol. B 14, 3702 (1996) A. Agarwal, J. Vac. Sci. Technol A, 27(1), 37 (2009) S-D Park, Jpn J. Appl. Phys. 44, 389 (2005) Step1: Modification Step 2: Removal Cl Ar+ Purge Surface to be etched Two schemes Chemisorption driven by radical O + /O 2 + Removal driven by ionic bombardement Purge End and repeat Conversion: -Oxidation by plasma or wet J. Lin, et al,, IEEE Elec. Dev. Lett., 35, 440,(2014) X. Cao, Microelectron. Eng., 67, 333 (2003). Removal by Wet etching or radicals 17

ALE concept : from lab to fab ALE has been studied for over 25 years in laboratory but never implemented into semiconductor high-volume manufacturing because low throughputs and high costefficiency Ex: S-D Park, Jpn J. Appl. Phys. 44, 389 (2005) -ALE of Si with Cl2 thermal adsorption followed by Ar+ ER= 1.36 A/cycle But 1 cycle = 85s (20s chemisorption-20s purge-40s removal-5s purge) 14 min to etch 1nm!! Now that the major industrial concern is ATOMIC PRECISION etching, the ALE concept creates renewed interest How to implement ALE concept with industrial constraints? Which technologies? Develop plasma technologies that allow: Low ion energy (<25eV) An independent control of radical and ion fluxes 1nm in 10s acceptable Low Te plasma reactor Pulsed plasmas Fast injection pulsed plasma Smart etch technology 18

Outline I. Introduction II. Limitations of current plasma technologies III. Atomic layer etching concept : ALE IV. From lab to fab 1. Low Te 2. Pulsed plasmas 3. Fast gas injection plasma 4. Smart etch technology 19

Low T e plasmas Design a reactor chamber capable to provide electrons with low electronic temperature near the wafer surface (Te <1 ev) Very low ion energy achievable :Vp-Vf~5kTe <5eV How? By separating of the plasma generation region from the wafer plasma region Plasma generation : High Te High n e- Ionization zone: Ar + e- Ar + + 2 e- Production of secondary e- Wafer Region 1 Region 2 Plasma diffusion: No e- heating by EM wave The secondary electrons cool down through collisions to a very low temperature Low Te (<2 ev) Low Vp < 10eV High n e- An ideal Low Te source has a sufficient ionization population with a reduced dissociation population while maintaining a low bulk Te 20

Several designs RLSA Low T e plasma reactors Electron beam generated plasma Surfacer wave Plasma generated by RLSA antenna* *M. Ando, IEEE Trans. Ant. propag. AP-33, 1347, (1985) Goto et al., Jpn. J. Appl. Phys., 42, 1887 (2003) RLSA technology implemented in industrial plasma reactor in 2010 by TEL C. Tian, J. Vac. Sci. Technol. A 24, 1421, (2006) Q. yang, ECS Transactions, 52 (1) 275-280 (2013) Concept exists from late 1970 s used for deposition R. A. Dugdale, J. Mat. Sci. 10, 896 (1975) Naval research laboratory (NRL) is the first to develop a plasma reactor based on this concept R. A. Meger,, Large Area Plasma Processing System ( LAPPS) U. S. Pat. 5,874,807 (1999). S.G. Walton et al, ECS J. Of Sol. State Sci.Tech., 4 (6) N5033 (2015) More recently, TEL and AMAT show some interest in this technology. No industrial tool yet L. Chen, PlasmaSources Sci. Technol. 22, 065015 (2013). S. Rauf, 61st AVS Int. Symp. and Exhib., Baltimore 21 (2014).

Low T e plasma properties Te and Ne in a RLSA reactor Q. yang, ECS Transactions, 52 (1) 275-280 (2013) Plasma generation Plasma diffusion V p < 5 ev Antenna Wafer Antenna Wafer RLSA can sustain an over-dense high density plasma from a few mtorr to 1torr (ICP<100mT) Te and Ne varies only slightly with chamber pressure Control of N independently of i C. Tian, J. Vac. Sci. Technol. A 24, 1421, (2006) 22

Low T e vs ICP summary Design Element ICP Low Te Te 2-4eV < 2 ev Minimum ion energy 15-20 ev <10 ev VUV flux high low Ion flux 10 10-10 11 10 10-10 12 Dissociation/Etch byproducts redissociation Ions and radical production high coupled low uncoupled Minimum surface damage Reduced microloading and ARDE 23

Outline I. Introduction II. Limitations of current plasma technologies III. Atomic layer etching concept : ALE IV. From lab to fab 1. Low Te 2. Pulsed plasmas 3. Fast gas injection plasma 4. Smart etch technology 24

T T on T off Pulsed plasma technologies Principle: modulation of the plasma power supplies (turned «on» and «off») First studies in the 90 s: source pulsing only Source Power (S. Samukawa,Appl. Phys. Lett. 63 2044 (1993)) In 2009, AMAT commercialized an ICP reactor equipped with Pulsync TM S. Banna, et al., IEEE Trans. Plasma Sci. 37, 1730 (2009). Two new knobs: o Frequency: f = 1/T o Duty cycle: DC = T on T on +T off T on T T off Three new regimes: -Source pulsing only -Bias pulsing only -Synchronized pulsing Bias power 25

Pulsed plasmas: important timescales For typical etching applications the pulsing frequency is > 1kHz (T<1ms) Ions kinetics Radicals kinetics ion Current (ma.cm -2 ) 0.6 0.5 0.4 0.3 0.2 0.1 RF ON 1 khz Ar Cl 2 density (cm -3 ) 6 5 4 3 2 1 RF ON 1 khz Ar/Cl 2 0.0 0.0 0.5 1.0 Time (ms) Ion production:100-1000µs Ion losses (ambipolar diffusion) ~ 100µs 0 0,0 0,2 0,4 0,6 0,8 1,0 Time (ms) Radical production:100-1000µs Radical losses (diffusion, pumping)>ms Radical density is not modulated during plasma pulses while ion flux is strongly modulated Pulsing the ICP power allows independent control of the ion flux and radicals flux 26

Impact of the duty cycle on the plasma chemistry Cl 2 100sccm, 800Ws, 0 Wb, 20mTorr Decreasing Dissociation Duty cycle is the major knob and controls dissociation Pulsed plasmas with small duty cycle = reduced chemical reactivity Bodart et al., JAP, 110, 113302 (2011) 27

Impact of the duty cycle on the ion energy distribution CW 75 % 50 % 25 % 10 % Ions from ON period No bias applied to the substrate (in continuous plasma : Ei ~ V p ) RF ON RF OFF Ions from OFF period T e V p 3 ev 15 V T e ~ 0.2 ev V p ~ 1 V 0 5 10 15 20 Energy (ev) When pulsing the plasma, the ion distribution function switches from unimodal to bimodal During the ON period: the ions are accelerated towards the wafer by V p ~ 15eV (No bias power) Similar behavior for continuous and pulsed plasma During the OFF period: Te and consequently Vp decrease low ion energy (<10eV) By pulsing the plasma, low ion energy regime can be reached 28

Impact of the duty cycle on the ion energy distribution CW 75 % 50 % 25 % 10 % Ions from ON period No bias applied to the substrate (in continuous plasma : Ei ~ V p ) RF ON RF OFF Ions from OFF period T e V p 3 ev 15 V T e ~ 0.2 ev V p ~ 1 V 0 5 10 15 20 Energy (ev) In an ICP plasma pulsed with a small duty cycle the wafer is bombarded by: - Low flux of low energy ions - Low flux of reactive radicals key point to reduce surface damages and local non uniformity 29

Example of application Minimizing Si recess during the over-etch of gate etching processes HBr/O 2 /Ar a) CW b) 5kHz 15% SiO 2 Si poly -Si ~ 2 nm passivation layer poly -Si ~ 1 nm passivation layer ~ 1.5 nm Si recess 1.2 nm gate oxide 1.2 nm gate oxide No recess From Petit-Etienne et al.j. Vac. Sci. Technol B 30(4), 1071 (2012) Minimizing microloading effect during PolySi etching in HBr/O 2 Continuous plasma Pulsed plasma: DC=20%, 1kHz Isolated Dense Isolated Dense Microloading effect M. Haass, J. Vac. Sci. Technol. B 33, 032203 (2015) Identical iso-dense profile Better selectivity over HM 30

Outline I. Introduction II. Limitations of current plasma technologies III. Atomic layer etching concept : ALE IV. From lab to fab 1. Low Te 2. Pulsed plasmas 3. Fast gas injection plasma 4. Smart etch technology 31

How can we reproduce the two steps of the ALE concept in an industrial reactor with acceptable time constraints? Surface to be etched Step1:Modification Cl Purge Step 2:Removal Ar+ Purge End and repeat Chemisorption driven by radical Removal driven by ionic bombardement Step 1: plasma needs to operate in a regime that forms sub-1nm reactive layer Ion energy <25eV Hardly achievable with conventional ICP reactor Can we stop the growth of the reactive layer before it reaches 1 nm thickness? 32

A dynamic control of the reactive layer thickness Thickness (nm) Steady state reactive layer 3 2 1 Si atom Cl atom 0 ms 100 ms 200 ms 300 ms 400 ms 500 ms Typical time to reach 1nm thick reactive layer ~ 100-200 ms Sub-1 nm thick reactive layer can be obtained if the reactive gas injection in the plasma chamber is stopped before the reactive layer reaches its steady state thickness Technically feasible by fast gas switching technology (if t >100ms) Patent US 8133349 B1 (2012) Rapid and uniform gas switching for a plasma etch process 33 33

How can we reproduce the two steps of the ALE concept in an industrial reactor with acceptable time constraints? Surface to be etched Step1:Modification Cl Step 2:Removal Ar+ End and repeat Chemisorption driven by radical Removal driven by ionic bombardement Step 2: bombarding particles are used to provide enough energy to break the Si Si bonds that have been weakened by adsorbed chlorine. After 10s plasma for ion flux of 2mA/cm 2 MD simulations show that 25-50eV ion energy range allows -SiCl removal with acceptable time constraint -with limited Si amorphization Paulin Brichon, phd thesis 34

Atomic Precision etching using Fast gas injection Reactive layer thickness Step 1 Step 2 Step 1 Step 2 t 1 ~ 100 ms t 2 ~ 10 s Reactive gas injection Ar Cl 2 Ar gas injection e - + + + 1 nm 0 nm Time 35

Demonstration of Atomic Precision etching using Fast gas injection ICP (Ar/Cl 2 plasma) Plasma enhanced ALE (Cl 2 followed by Ar) Microtrenching Flat etch front Rough Smooth K. J. Kanarik, Solid State Technol. 56, 14 (2013). 36

Outline I. Introduction II. Limitations of current plasma technologies III. Atomic layer etching concept : ALE IV. From lab to fab 1. Low Te 2. Pulsed plasmas 3. Fast gas injection plasma 4. Smart etch technology 37

Smart etch Technology : An alternative process for thin layer etching Based on the ALE concept using a «conversion» modification step Developped for SiN or SiO 2 materials Surface to be etched H/He ion implantation using ICP or CCP plasma He+/H+ Removal by Wet etching or radicals End and repeat Step 1: Implantation of light ions (He+ or H+) to modify the material without sputtering it The modification depth is driven by ion flux and energy Step 2: Removal of the modified layer by chemical action: Wet etching or radicals produced by downstream plasma The chemical step must present high etch selectivity over the non modified layer N. Posseme, Appl. Phys. Lett. 105, 051605 (2014) 38

Smart etch Technology: Proof of concept Step 1: Implantation of light ions Impact of ion energy Impact of ion dose (ion flux*time) N. Posseme et al, Appl. Phys. Lett. 105, 051605 (2014) The modified layer thickness is driven by the ion energy This step is self-limited: at a given ion energy, the modified layer thickness saturates above a certain ion dose. Respect of the ALE criteria 39

Smart etch Technology: Proof of concept Step 2: removal by NF3/NH3 downstream plasma Formation of HF and NH 4 F by NH 3 and NF 3 dissociation and subsequent recombinaison Etching proceeds through the formation of salts that can sublimate for T>100 C SiO2 + 4 HF + 2 NH4F (NH4)2SiF6 (salts) + 2 H2O Etch mechanisms of the modified Si 3 N 4 not well understood However the smart etch technology shows remarkable capability to etch modified Si 3 N 4 layer with excellent selectivity over the non modified Si 3 N 4 After H+ implantation After NH 3 /NF 3 downstream plasma Modified Si3N4 Gate Spacer Si 3 N 4 Almost no consumption of non modified Si 3 N 4 No Si recess Si 40

Modified depth (nm) Towards a technological rupture for atomic precision etching AMAT proposes a new reactor design that allows to achieve the two ALE steps in the same chamber 1. Step1: modification by ion implantation generated by CCP plasma 10 8 6 4 2 0 0 100 200 300 400 500 600 Energy (ev) Modification depth driven by ion energy Self limited 41

Towards a technological rupture for atomic precision etching AMAT proposes a new reactor design that allows to achieve the two ALE steps in the same chamber 2. Step2 : removal of the modified layer by radicals generated by down stream plasma The etching proceeds through the formation of salts Self limited: the etched amount saturates with process time 42

Conclusion The complexification of MOS transistors architecture imposed by the miniaturization requires atomic precision etching CD control at the nanometer scale Etching of ultra thin layers without introducing plasma damage Conventional ICP reactor reach their intrinsic limits to have an atomic precision etching Impossible to control the N / i ratio! Ion energy range is restricted: 15 200 ev New tool concepts are being introduced to meet the needs of future process technologies The ideal tool does not exist. Each of them have pros and cons. The right tool has to be chosen according to the application targeted 43

44