Measurement of EUV scattering from Mo/Si multilayer mirrors

Similar documents
High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source

Evaluation of Mo/Si multilayer for EUVL mask blank

Customized EUV optics made by optix fab

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Multilayer Interference Coating, Scattering, Diffraction, Reflectivity

EUV Reflectivity measurements on Acktar Sample Magic Black

EUV and Soft X-Ray Optics

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Design of Attenuated Phase-shift shift Mask with ITO Absorber for Extreme Ultraviolet Lithography

BEUV nanolithography: 6.7 or 11 nm?

We published the text from the next page.

A short pulsed laser cleaning system for EUVL tool

Surface Properties of EUVL. Laser Shock Cleaning (LSC)

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

Evaluation at the intermediate focus for EUV Light Source

EUV and Soft X-Ray Optics

Multilayer optics for next-generation EUVL systems

EUV lithography and Source Technology

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA

Overview of EUV Lithography and EUV Optics Contamination

Design of multilayer X-ray mirrors and systems

Multilayer coating for EUV collector mirrors. Solutions with light. meet challenges and offer opportunities. Fraunhofer IOF

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source

Analysis of carbon contamination on EUV mask using CSM/ ICS

EUV-collector mirrors for high-power LPP sources

Soft X-ray multilayer mirrors by ion assisted sputter deposition

Thermal Expansion property and Surface Finish capability of CLEARCERAM -Z series for EUVL Photomask Substrate Application

Multilayer Optics, Past and Future. Eberhard Spiller

Enhanced Performance of Multilayer Optics for Water Window Microscopy

Soft X - Ray Optics: Fundamentals and Applications

DUV ( nm ) Characterization of Materials: A new instrument, the Purged UV Spectroscopic Ellipsometer,

SIMBOL-X X optics: design and implementation

X-Ray Interaction with Matter: Absorption, Scattering and Refraction

Efficient EUV source by use of a micro-target containing tin nanoparticles

Takeo Watanabe Center for EUVL, University of Hyogo

Cleaning of Silicon-Containing Carbon Contamination

The Repeller Field debris mitigation approach for EUV sources

Sliced multilayer gratings (SMG) as dispersive elements for the soft X-rays

EUV Source Developments on Laser-Produced Plasmas using Lithium New Scheme Target

A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target

EUV polarimetry in laboratory: thin film characterization and EUV phase retarder reflector development. Paola Zuppella

Course 2: Basic Technologies

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007

SCI. Scientific Computing International. Scientific Computing International. FilmTek. Raising Thin Film Metrology Performance to a New Level

MICRO AND NANOPROCESSING TECHNOLOGIES

Reduction of stress and roughness by reactive sputtering in W/B 4 C X-ray multilayer films

EUREKA: A new Industry EUV Research Center at LBNL

Problem Solving. radians. 180 radians Stars & Elementary Astrophysics: Introduction Press F1 for Help 41. f s. picture. equation.

Image Degradation from Surface Scatter in EUV Optics

Reticle Blanks for Extreme Ultraviolet Lithography: Ion Beam,Sputter Deposition of Low Defect Density Mo/Si Multilayers

Damage to optics under irradiations with the intense EUV FEL pulses

Early Development of Dispersive X-Ray Absorption Spectrometer and Recent Extension of Dispersive Optics to Quick X-ray Reflectometory

High Yield Structured X-ray Photo-Cathode Development and Fabrication

High Efficiency Triple-Junction Solar Cells Employing Biomimetic Antireflective Structures

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Lobster-Eye Hard X-Ray Telescope Mirrors

2D XRD Imaging by Projection-Type X-Ray Microscope

Check the LCLS Project website to verify 2 of 6 that this is the correct version prior to use.

Industrial Applications of Ultrafast Lasers: From Photomask Repair to Device Physics

Accelerated Neutral Atom Beam Processing of Ultra-thin Membranes to Enhance EUV Transmittance. February 22, 2015

SSRL XAS Beam Lines Soft X-ray

A neutron polariser based on magnetically remanent Fe/Si supermirrors

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources

Photolithography II ( Part 1 )

2.76/2.760 Multiscale Systems Design & Manufacturing

Multilayer coating facility for the HEFT hard X-ray telescope

Resist-outgas testing and EUV optics contamination at NIST

High Yield Structured X-ray Photo-Cathode Development and Fabrication

Status of multilayer coatings for EUV Lithography

Wavelength-Specific Reflections A Decade of EUV Mask Inspection Research

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation

Full-color Subwavelength Printing with Gapplasmonic

OPTICAL PROPERTIES OF THE DIRC FUSED SILICA CHERENKOV RADIATOR

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems

Domain Decomposition Method for Electromagnetic Scattering Problems: Application to EUV Lithography

An alternative scattering method to characterize surface roughness from transparent substrates

Nanosphere Lithography

Characterization of refractive properties of fluids for immersion photolithography

Characterization of the Tin-doped droplet laser plasma EUVL sources for HVM

ECE Semiconductor Device and Material Characterization

NTUA. A. Georgakopoulou. A. Papayannis1, A. Aravantinos2 NATIONAL TECHNICAL UNIVERSITY OF ATHENS TECHNOLOGICAL EDUCATIONAL INSTIDUTION OF ATHENS SIENA

Lecture 9: Introduction to Diffraction of Light

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

SUPPLEMENTARY INFORMATION

X-ray optics for the LCLS free-electron laser

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process

Reflectometry with Synchrotron Radiation

Optimization of EUV Lithography Plasma Radiation Source Characteristics Using HELIOS-CR

Actinic review of EUV masks: First results from the AIMS EUV system integration

Surface Plasmon Resonance. Magneto-optical. optical enhancement and other possibilities. Applied Science Department The College of William and Mary

EUV lithography industrialization for HVM

Efficient Light Scattering in Mid-Infrared Detectors

UV Fluorescence from Integrating Spheres Measurement and Theory

Supporting information:

Blue-ray-induced optical properties of noble metal oxide thin film in super-rens disk

IEUVI Mask Technical Working Group

Transcription:

Measurement of EUV scattering from Mo/Si multilayer mirrors N. Kandaka, T. Kobayashi, T. Komiya, M. Shiraishi, T. Oshino and K. Murakami Nikon Corp. 3 rd EUVL Symposium Nov. 2-4 2004 (Miyazaki, JAPAN)

Outline - Introduction - Sample substrates before and after depositing Mo/Si multilayer - Reflectivity and angular scattering distribution - Summary June. 28. 2004 3rd EUVL Symposium Nov. 2-4 2004 (Miyazaki JAPAN) Slide 2

Introduction(1) Numerous EUV multilayer mirrors will be be employed in in EUV lithography system. Illumination Optics Mask Mask Stage Target Condenser Mirror Projection Optics Laser EUV Source Wafer Stage Wafer June. 28. 2004 3rd EUVL Symposium Nov. 2-4 2004 (Miyazaki JAPAN) Slide 3

Introduction(2) In EUV multilayer-coated reflective optics, not only surface roughness of mirror substrates, but roughness caused by multilayer deposition significantly affects its performance. (1) We observed the surface roughness of Mo/Si multilayer coatings deposited by ion beam sputtering. Surface profiles were measured by AFM before and after coating multilayer. Power spectral density (PSD) was calculated to evaluate surface roughness. (2) We measured EUV reflectivity and angular distribution of scattering. June. 28. 2004 3rd EUVL Symposium Nov. 2-4 2004 (Miyazaki JAPAN) Slide 4

Sample substrates & multilayer deposition -- We prepared 3 polished fused silica substrates (sample A, A, B and C). -- Mo/Si multilayers were deposited on on the substrates by by ion beam sputtering. Mo/Si multilayers Number of pairs: 50pairs Layer period: ~7.1 nm (Mo: ~2.5nm, Si: ~4.6nm) June. 28. 2004 3rd EUVL Symposium Nov. 2-4 2004 (Miyazaki JAPAN) Slide 5

AFM images (1x1µm) of sample substrates Sample:A Before deposition After deposition 0.136nmRMS 0.112nmRMS Sample:B 0.155nmRMS 0.117nmRMS June. 28. 2004 3rd EUVL Symposium Nov. 2-4 2004 (Miyazaki JAPAN) Slide 6

AFM images (1x1µm) of sample substrates Sample:C 0.285nmRMS 0.161nmRMS June. 28. 2004 3rd EUVL Symposium Nov. 2-4 2004 (Miyazaki JAPAN) Slide 7

PSDs of sample surfaces After Sample:A Before 10µm 1µm 100nm 10nm Sample:A Sample:C 100nm Before After June. 28. 2004 3rd EUVL Symposium Nov. 2-4 2004 (Miyazaki JAPAN) Slide 8 Sample:A 300nm Sample:B After Before -- Substrate roughness in in the the region of of structure size size of of less less than than 100 100 nm nm were reduced by by Mo/Si multilayer deposition by by ion ion beam sputtering. -- Sample B and and C have high high roughness in in the the region of of structure size size of of more than than 300 300 nm nm and and 100nm respectively.

EUV reflectivity of Mo/Si multilayers Sample A Sample B Sample C Peak reflectivity Sample A: 67.7% Sample B: 67.1% Measured EUV reflectivity Sample C: 65.7% Measured at Photon Factory BL-12A (KEK) Angle of incidence : 14 deg June. 28. 2004 3rd EUVL Symposium Nov. 2-4 2004 (Miyazaki JAPAN) Slide 9

Measurement of EUV angular scattering distribution Intensity 2θ 2θ Reflected beam SR-ring Monochromator detector (Photomultiplier) λ= 13.4nm scattering slit Sample Measured Measured at at Photon Photon Factory Factory BL-12A BL-12A (KEK) (KEK) Wavelength Wavelength :: 13.4 13.4 nm nm Angle Angle of of incidence incidence :: 14 14 deg deg June. 28. 2004 3rd EUVL Symposium Nov. 2-4 2004 (Miyazaki JAPAN) Slide 10

EUV angular scattering distribution -- The The scattering intensities of of samples B and and C were were higher higher than than that that of of sample A at at less less than than 3 degrees and and 8 degrees respectively. -- 3 degrees and and 8 degrees correspond to to 100nm 100nm and and 300nm 300nm in in the the structure size size of of surface roughness. Sample A 300nm 2.6) Sample B Sample C 100nm 7.7) June. 28. 2004 3rd EUVL Symposium Nov. 2-4 2004 (Miyazaki JAPAN) Slide 11

Scattering from a single surface λ: wavelength R: the normal incidence reflectivity - Equation (1) is the Born approximation for scattering from a single surface *. - In the case of small roughness, near normal incidence and small scattering angle, scattering from a multilayer is approximated by equation (1) *. * E. Gullikson Proc. SPIE 3331 pp72-80 June. 28. 2004 3rd EUVL Symposium Nov. 2-4 2004 (Miyazaki JAPAN) Slide 12

June. 28. 2004 3rd EUVL Symposium Nov. 2-4 2004 (Miyazaki JAPAN) Slide 13 Scattering from multilayer - Optical path difference of reflected beams are same as wavelength (=13.4 nm ) d * cos θ d * cos( θ+α) θ α High reflectivity - Optical path difference of scattered beams are different from wavelength (=13.4 nm) Scattered intensity will be lower than that calculated by using equation (1)

Calculation of angular scattering distribution direct beam The angular distribution of scattered EUV light deduced from PSD by using equation (1) 1/Io di/dω Measured angular distribution of EUV light. Scattering Angle (deg) Calculation which take account of the effect of optical path difference June. 28. 2004 3rd EUVL Symposium Nov. 2-4 2004 (Miyazaki JAPAN) Slide 14

Calculation of angular scattering distribution 1/Io di/dω 1000 100 10 1 0.1 0.01 0.001 direct beam 0.1 1 10 100 Scattering Angle (deg) The measured EUV angler scattering distribution and the calculated EUV angler scattering distribution agreed well. Calculated from PSD Measured data June. 28. 2004 3rd EUVL Symposium Nov. 2-4 2004 (Miyazaki JAPAN) Slide 15

Scattering on rough surface Incidence beam Reflected beam 14 14 θ Scattering angle is is depend on on the spatial frequency of of roughness 14 14 θ scattered L=d sin(14+θ) d cos(14) =d (0.242(cosθ-1)+0.970sinθ) 0.970 d sinθ approx. =λ (=13.4nm) d June. 28. 2004 3rd EUVL Symposium Nov. 2-4 2004 (Miyazaki JAPAN) Slide 16

Scattering loss of reflectivity Scattering loss: The total value of scattering intensity integrated throughout the whole range of solid angles Total scattering loss Dependence of the scattering loss on the region of spatial frequency of roughness June. 28. 2004 3rd EUVL Symposium Nov. 2-4 2004 (Miyazaki JAPAN) Slide 17

The loss of EUV reflectivity due to scattering By By adding the the scattering loss loss to to the the peak reflectivity, the the total total intensity became the the same for for all all samples. Measured peak reflectivity Scattering loss 68~68.5% Scattering loss June. 28. 2004 3rd EUVL Symposium Nov. 2-4 2004 (Miyazaki JAPAN) Slide 18

Summary -- EUV angler scattering distribution and the surface profile of of substrate (PSDs) agreed well. -- By calculating the total scattered EUV intensity, the scattering loss of of the reflectivity was estimated for each sample. June. 28. 2004 3rd EUVL Symposium Nov. 2-4 2004 (Miyazaki JAPAN) Slide 19