Evaluating the Performance of c-c 4 F 8, c-c 5 F 8, and C 4 F 6 for Critical Dimension Dielectric Etching

Similar documents
Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

Section 3: Etching. Jaeger Chapter 2 Reader

LECTURE 5 SUMMARY OF KEY IDEAS

Etching: Basic Terminology

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Plasma polymers can be used to modify the surface chemistries of materials in a controlled fashion (without effecting bulk chemistry).

Reactive Ion Etching (RIE)

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

Gas utilization in remote plasma cleaning and stripping applications

Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Comparative Studies of Perfluorocarbon Alternative Gas Plasmas for Contact Hole Etch

Plasma Deposition (Overview) Lecture 1

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

ETCHING Chapter 10. Mask. Photoresist

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

Repetition: Practical Aspects

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

IV. Surface analysis for chemical state, chemical composition

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC

Introduction to Photolithography

Wet and Dry Etching. Theory

H 2 /Ar Plasma Interactions with a-c:h Surfaces: A Detailed Study of Modified Layer Formation and Erosion

Formation and micromachining of Teflon fluorocarbon polymer film by a completely dry process using synchrotron radiation

Dynamization evolution of Dry Etch Tools in Semiconductor Device Fabrication Gordon Cameron Intel Corp (November 2005)

EE C245 ME C218 Introduction to MEMS Design Fall 2007

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials

PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

Effects of Ar and O 2 additives on SiO 2 etching in C 4 F 8 -based plasmas

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

Ceramic Processing Research

Device Fabrication: Etch

FRAUNHOFER IISB STRUCTURE SIMULATION

Patterning Challenges and Opportunities: Etch and Film

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis

Chapter 7 Plasma Basic

Regents of the University of California

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL

Fabrication Technology, Part I

Surface Chemistry and Reaction Dynamics of Electron Beam Induced Deposition Processes

Introduction. Photoresist : Type: Structure:

Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I.

Introduction to Plasma

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials

Sensors and Metrology. Outline

CHAPTER 6: Etching. Chapter 6 1

Etching Capabilities at Harvard CNS. March 2008

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures

Tutorial on Plasma Polymerization Deposition of Functionalized Films

Chapter 7. Plasma Basics

Defense Technical Information Center Compilation Part Notice

Characterization of Post-etch Residue Clean By Chemical Bonding Transformation Mapping

X-Rays, Electrons and Lithography: Fundamental Processes in Molecular Radiation Chemistry

EE C245 ME C218 Introduction to MEMS Design Fall 2007

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

Air Products and Chemicals, Inc., 7201 Hamilton Blvd., Allentown, PA 18195

NanoEngineering of Hybrid Carbon Nanotube Metal Composite Materials for Hydrogen Storage Anders Nilsson

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas

High-Precision Evaluation of Ultra-Shallow Impurity Profiles by Secondary Ion Mass Spectrometry

Application of Surface Analysis for Root Cause Failure Analysis

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Spring 2009.

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

Simulation and characterization of surface and line edge roughness in photoresists before and after etching

Film Deposition Part 1

Feature-level Compensation & Control

Development of Technologies for Recovery and Removal of Fluorinated Compounds Causing Global Warming Abstract of the Report

Cold Gas Plasma Treatment For Re-engineering Films by Stephen L. Kaplan, 4th State, Inc.

The design of an integrated XPS/Raman spectroscopy instrument for co-incident analysis

sample was a solution that was evaporated in the spectrometer (such as with ESI-MS) ions such as H +, Na +, K +, or NH 4

Sputtering by Particle Bombardment

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

WHAT IS GAS PLASMA AND SHOULD YOU CARE?

Name: 1: /33 Grade: /100 2: /33 3: /33 +1 free point. Midterm Exam I. CHEM 181: Introduction to Chemical Principles September 20, 2012 Answer Key

FINE PATTERN ETCHING OF SILICON USING SR-ASSISTED IONIZATION OF CF4 GAS

Secondary Ion Mass Spectroscopy (SIMS)

Modification of Plasma Polymer Films by Ion Implantation

In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide

Silicon etching in NF 3 /O 2 remote microwave plasmas

Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice

Technology for Micro- and Nanostructures Micro- and Nanotechnology

Equipment Innovation Team, Memory Fab. Center, Samsung Electronics Co. Ltd. San#16, Banwol, Taean, Hwansung, Kyungki, , Republic of Korea

Secondary ion mass spectrometry (SIMS)

(2) Read each statement carefully and pick the one that is incorrect in its information.

A comparison of the defects introduced during plasma exposure in. high- and low-k dielectrics

EE-612: Lecture 22: CMOS Process Steps

Atmospheric plasma treatment for surface modification of fibre assemblies

Plasma atomic layer etching using conventional plasma equipment

PRINCIPLES OF PLASMA PROCESSING Course Notes: Prof. J. P. Chang PART B6: PLASMA SURFACE KINETICS

Absolute number density and kinetic analysis of CF, CF 2 and C 2 F 4 molecules in pulsed CF 4 /H 2 rf plasmas

Shu Hu 1,2, Matthias H. Richter 1,2, Michael F. Lichterman 1,2, Joseph Beardslee 2,4, Thomas Mayer 5, Bruce S. Brunschwig 1 and Nathan S.

MO s in one-dimensional arrays of like orbitals

III V Semiconductor Etching Process Quality Rather Than Quantity. Alan Webb. (formally of Plessey, GEC, Marconi, Bookham, Oclaro etc...

Transcription:

Evaluating the Performance of c- 4 8, c- 5 8, and 4 6 for ritical Dimension Dielectric Etching B. Ji, P. R. Badowski, S. A, Motika, and E. J. Karwacki, Jr. Introduction: One of the many challenges I manufacturing faces with the advancement of technology nodes is reactive ion etching [RIE] of dielectric materials to produce smaller feature with higher aspect ratios. ompounding the difficulty is the introduction of 193 nm photoresist materials, whose chemical composition makes them less resistant to RIE and their depth of focus requires the use of thinner films to pattern during lithography. Another trend that is also beginning to factor in is the use of lower k dielectric materials. Many of these materials are carbon doped silicon oxide. The challenge they presents is a possible reduction in etch selectivity between the resist and dielectric being etched, because of organic forms of carbon being included in the structure of the material. A process control parameter that is being looked at to assist with these RIE problems is tailoring of the etch chemistry.[1,2] igure 1 illustrates what is being asked of RIE chemistries: 1. Thinner resist films require they be protected from etching by ion bombardment, and attack by oxygen ions and radicals [3]. 2. To maintain the integrity of the sidewall, a protective polymer film that is sufficiently crosslinked is needed to assure the walls are kept smooth [4]. 3. The polymer along the trench bottom must be sufficiently fluorinated, and there must be an adequate supply of 2 ions and radicals within the plasma to promote rapid etching of the trench [5]. 4. inally, all of this chemistry must function in a manner that enables uniform etching across dense and isolated features of varying dimensions [i.e., minimizes microloading]. 1

. igure 1: Overview of RIE process. Numbered areas indicate where chemistry plays a critical role in etch process. To address these process requirements RIE etching chemistry has undergone a number of transitions. igure 2 illustrates a trend in RIE chemistry for etching silicon oxide. An early route to reducing the fluorine to carbon ratio was substituting hydrogen for a fluorine atom on 4. The weak bond strength of a carbon to hydrogen bond helped promote fragmentation within the plasma and formation of free radicals of and 2. [6-8] These species are believed to play critical roles in promoting RIE. However, these species are not capable of producing polymeric films at high deposition rates. To enhance polymer film formation molecules with higher levels of unsaturation within its structure were investigated. The adoption of c- 4 8 is a good example of incorporating unsaturation into the molecule. Here the ring structure of the molecule contributes one degree of unsaturation. The net result of this is a concomitant reduction in its fluorine to carbon ratio. This molecule has been extensively used for etching silicon oxide for many years. However, starting at the 180 nm technology node another shift in chemistry began to occur to lower fluorine to carbon ratios. Two molecules that have received considerable attention over the recent technology nodes are hexafluorobutadiene [ 4 6 ] and octafluorocyclopentene [c- 5 8 ]. Both of these molecules have two degrees of unsaturation, and almost identical fluorine to carbon ratios. A number of the most advanced critical dimension dielectric etching processes now employ these two etching chemistries. 2

luorine:arbon Ratio 4.0 3.0 2.0 1.0 1 4 H 3 H 2 2 4 6 c- 4 8 3 2 4 5 8 Selectivity [Oxide:Resist] igure 2: General trend in the evolution of RIE chemistries for anisotropic etching of SiO 2. To better understand the performance differences between c- 4 8, 4 6, and c- 5 8, we performed a number of characterization studies to learn more about how these molecules behave in RIE processes. In this application note we present findings associated with polymer film formation, etch selectivity, and composition of polymer film produced from c- 4 8, 4 6, and c- 5 8. These studies were carried out on two etching platforms within our applications laboratories. A Gaseous Electron onference [GE] reactor was used for studying polymer film formation and identification of species present within the plasma. Anisotropic etching studies were performed on a 200 mm Mark II MERIE reactor attached to an Applied Materials P-5000 platform within our facility. We attempted to evaluate the relative performance of the three aforementioned fluorocarbons. Process recipes for all three were optimized to obtain the maximum oxide etching rate and highest oxide to resist selectivity. igure 3 shows the results for this study. Both c- 5 8 and 4 6 result in significantly higher oxide etch rates and etch selectivity when compared to the incumbent c- 4 8. Of the two more unsaturated molecules, 4 6 stands out as the better option. 3

igure 3: Summary of RIE optimization study. Using mass spectrometry we attempted to learn more about how these molecules may fragment within a plasma. Our initial work used low energy (30 ev) electron impact ionization as a surrogate for plasma excitation. igure 4 summarizes this study. The data suggest 4 6 and c- 5 8 produce more, larger-sized fragments with / less than 2.0 (purple label peaks). urthermore, 4 6 produces a more abundant number of fragments with / less than 1.5 (blue label peaks). These larger fragments are believed to be responsible for polymer film formation, and unsaturated fragments (low : ratios) help facilitate cross-linking of the polymer film. Another interesting trend observed is that 4 6 produces more and 2 fragments. These species are thought to be positive contributors to the etching rate of SiO 2. 4

igure 4: Summary of 30 ev electron impact fragmentation study of c- 4 8, 4 6, and c- 5 8. Measuring the rate of polymer film deposition during RIE and the composition of the film are very challenging measurements we continue to pursue in our studies. A screening study we often employ to evaluate the propensity for a fluorocarbon molecule to polymerize within a plasma is to measure the rate of film deposition from a plasma comprised of just the fluorocarbon molecule. igure 5 summarizes the results of this study, and an evaluation of the chemical composition of the polymer film by X-ray Photoelectron Spectroscopy (XPS). The results show how reactive 4 6 and c- 5 8 are when activated within in a plasma environment. In contrast to c- 4 8, polymeric films can be readily produced from these two materials. Again, 4 6 is found to be the more reactive material. By XPS we observe that 4 6 produces a film that contains approximately 10% more cross-linking. As mentioned above the conditions employed here to deposit these films differ from those used to etch oxide. In a future note we will discuss work now underway to characterize polymeric films created during RIE. 5

igure 5: Deposition rate and chemical composition by XPS of polymer films made from 4 6, c- 5 8, and c- 4 8. In conclusion, benefits of higher oxide etching rate and oxide to resist etch selectivity we observe for critical etching using 4 6 can be attributed to: propensity for polymerizing when activated by plasmas formation of highly unsaturated molecular fragments that lead to a high degree of cross-linking with the films deposited production of and 2 fragments that assist in the RIE process. urrently, we are continuing our plasma studies by employing mass spectrometry using very low energy impact ionization to probe the composition and distribution of neutral species within the plasma. Our surface studies are directed towards a better understanding of the chemical composition of the protective films that grow along the resist surface and sidewall of the etched features. References 1. M. Nakamura, M. Hori, T. Goto, M. Ito, and N. Ishii, J. Vacuum Science and Technology A, 19, 2134 (2001). 2. R. hatterjee, R. Reif, T. Sparks, V. Vartanian, B. Goolsby, L. Mendicino, Proceedings Electrochemical Society, 99 (2002). 3. B. Ji, S. A. Motika, P. R. Badowski, S. Dheandhanoo, E. J. Karwacki, hris Timmons, Dennis W. Hess, and Eric. Benck, The Role of luorine hemistry in Anisotropic 6

Etching of Dielectric Materials, presented at the American hemical Society, 224 th AS National Meeting, Boston, MA, August 18-22, 2002. 4. B. Ji, S. A. Motika, P. R. Badowski, S. Dheandhanoo, E. J. Karwacki, Jr., J. R. Stets,. Timmons, D. W. Hess, and E.. Benck, Plasma Diagnostics and Thin ilm haracterization in Dielectric Etching: Understanding the Role of luorine hemistry, presented at the 50 th AVS International Symposium, Baltimore, MD, November 2-7, 2003. 5. B. Ji, S. Dheandhanoo, S. A. Motika, P. R. Badowski, J. R. Stets, and E. J. Karwacki Polymer ormation in luorocarbon Etch Plasmas, presented at the 51 st AVS International Symposium, Anaheim, A, November 14-19, 2004. 6. K. Takahashi, M. Hori, M. Inayoshi, and T. Goto, Japanese Journal of Applied Physics, Part 1 35, 3635 (1996). 7. K. Maruyama, K. Ohkouchi, Y. Ohtsu, and T. Goto, Japanese Journal of Applied Physics, Part 1 33, 4298 (1994). 8. O. Joubert, G. Oehrlein, and M. Surendra, J. Vacuum Science and Technology A, 12, 658 (1994). 7