EUV Lithography Status and Key Challenges for HVM Implementation

Similar documents
Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing

EUVL Readiness for High Volume Manufacturing

EUV Lithography Towards Industrialization

Overview of EUV Lithography and EUV Optics Contamination

Status of EUV Sources for Mask Metrology

Actinic review of EUV masks: First results from the AIMS EUV system integration

EUV lithography industrialization for HVM

Progress on ASML s EUV Alpha Demo Tool

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

EUVL for HVM: Progress Update

EUREKA: A new Industry EUV Research Center at LBNL

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine

We published the text from the next page.

E152 Standard Revision: EUV-pod Reticle Carrier

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

Analysis of carbon contamination on EUV mask using CSM/ ICS

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC

Resist material for negative tone development process

UV2Litho Usable Vacuum Ultra Violet Lithography

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems

Wavelength-Specific Reflections A Decade of EUV Mask Inspection Research

ASML Approach to Euv Reticle Handling

High Optical Density Photomasks For Large Exposure Applications

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Cost of Ownership Considerations for Maskless Lithography

Cost Implications of EUV Lithography Technology Decisions

IEUVI Mask Technical Working Group

Figure 1 below shows the generic process flow of an LELE method of double patterning.

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG

Current development status of Shin-Etsu EUV pellicle

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

SMP625 Product Specifications

GAMINGRE 8/1/ of 7

EUV Mask Carrier & Load Port Standards Workshop Overview

Hyper-NA imaging of 45nm node random CH layouts using inverse lithography

Chromeless Phase Lithography (CPL)

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation

T h e Y i e l d M a n a g e m e n t C o m p a n y Electrostatic Compatibility in Photolithography An OEM perspective

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES

Molecular Glass Resist with Organic Developer

Photolithography II ( Part 1 )

Holographic method for detecting amplitude and phase-shift errors and features in EUV ML reticle blanks

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA

Public Disclosure Copy

ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Nanoparticle Contamination Control and Metrology for the EUVL Systems

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Lecture 14 Advanced Photolithography

RS 1700/1900/2300/2900 High Density Reticle Stockers

Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node

193 nm STEP AND SCAN LITHOGRAPHY

RS-C Flexible Reticle Stocker

ENGINE SERIAL NUMBERS

Overview European EUVL programme

EUV Resist-Fundamental Research

Superconductivity for Electric Systems 2006 Annual DOE Peer Review

LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING

Impact of Pellicle on Overlay in Double Patterning Lithography

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009.

Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML

Sensors and Metrology. Outline

Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X

Critical Dimension Uniformity using Reticle Inspection Tool

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

Ebeam based mask repair as door opener for defect free EUV masks M. Waiblinger a, Tristan Bret a, R. Jonckheere b, D.

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Characterization of Optical Proximity Correction Features

Litho scenario solutions for FinFET SRAM 22nm node

The World Bank OECS Regional Tourism Competitiveness (P152117)

AFCC2/RI-South West Indian Ocean Fisheries Governance and Shared Growth Project 1 (P132123)

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007

Public Disclosure Copy

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Public Disclosure Copy

More on Stochastics and the Phenomenon of Line-Edge Roughness

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

Thermal Expansion property and Surface Finish capability of CLEARCERAM -Z series for EUVL Photomask Substrate Application

YACT (Yet Another Climate Tool)? The SPI Explorer

The World Bank. Key Dates. Project Development Objectives. Components. Overall Ratings. Public Disclosure Authorized

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

Kiribati Adaptation Phase III (LDCF) (P112615)

2018 Project Prioritization and Budgeting Process

Proposal to limit Namakan Lake to 1970 Upper Rule Curve for remainder of summer

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly

EBS IT Meeting July 2016

Update on Development of High Current Bunched Electron Beam from Magnetized DC Photogun

The World Bank Local and Regional Competitiveness Project (P154263)

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source

SHRINK. STACK. INTEGRATE.

Nanoparticle Contamination Control in EUVL Systems: Carrier, Scanner and Metrology -- A Review

Current Status of Inorganic Nanoparticle Photoresists

The World Bank Cities and Climate Change (P123201)

BUSI 460 Suggested Answers to Selected Review and Discussion Questions Lesson 7

Transcription:

EUV Lithography Status and Key Challenges for HVM Implementation Sam Intel Corporation

Moore s Law at Intel 10 Feature Size (um) 100 Cell Area (sq um) 1 10 0.5x every 2 years 0.1 1 0.01 1970 1980 1990 2000 2010 2020 0.1 1992 1994 1996 1998 2000 2002 2004 2006 2008 The trend is expected to continue Goal Find most cost-effective lithography solution to allow trend to continue 2

Choosing between Lithography Options If current lithography is capable of delivering a manufacturable process, use it If not: If new lithography technology is ready, manufacturable and cost-effective, use it (increase NA, reduce λ) If not: need to make alternative decisions to enable scaling without litho improvements (operate more effectively at lower k 1 ) Managing litho transitions is key! Requires significant planning 3

1.2 ArF Pitch Division vs. EUV 0.9 1.35NA ArF DP 1.35NA ArF EUV k1 0.6 0.93NA ArF 0.3 k = 0.3 1 0 100 90 80 70 60 50 40 30 20 1/2 Pitch (nm) 45nm node 80nm HP 07 HVM Dry 32nm node 56nm HP 09 HVM Immersion 22nm node ~40nm HP 11 HVM Immersion 15nm node 26-30nm HP 13 HVM ArF PD/ EUV 11nm node 18-22nm HP 15 HVM ArF PD/ EUV 4

Patterning Choices for 15nm and 11nm ArF Pitch Division EUV Advantages: Known technology Well-established infrastructure Mature photoresist and tooling Disadvantages: Complex process flow Very expensive Complicated DRs Advantages: Single exposure Simpler DRs Disadvantages: Unknown technology Infrastructure needs to be developed Immature photoresist, tooling 5

EUV HVM Key Requirements Stable hardware Scanner platform Optics Overlay/stage System (vacuum) Source Reliability and uptime Power Photoresist that meets requirements Resolution, sensitivity, LWR Etch interactions Reticles Defectivity Infrastructure (cleans, inspections, handling) Success of EUV in HVM will depend on progress on all these fronts 6

Exposure Tooling 7

External EUV Exposure Tooling Nikon EUV1 alpha tool 0.25NA full field scanner Currently installed at Nikon and SELETE ASML Alpha Demo Tool (ADT) 0.25NA full field scanner Currently installed at IMEC and SEMATECH Intel Internal MET small-field exposure tool Target application is resist development 8

EUV HVM Exposure Tooling Development ASML ADT printed wafer Nikon EUV1 printed wafer EUV Source Suppliers are competing towards HVM tool development Cymer beta source Philips beta source 9

Photoresists 10

0.3NA capability 600 x 600 µm field Low flare (3-6%) Intel MET Source: (XTREME DPF Source) 0.5mm x 2mm (FWHM) 35W EUV in 2π New EUV collector installed New outer shell extended σ outer from 0.55 to 0.65, 22nm HP resolution with quadrupole illumination First step in preparation for 0.5 NA MET projection optics (2010) that will enable ~10nm HP resolution 11

Intel MET Status J/cm2 7000 6000 5000 4000 3000 2000 1000 0 0 MET Cumulative Dose (J/cm2) 200 2H '04 (Install/Qual) 2005 2006 2007 2008 2009 (through WW37) 1 J/cm2 per day 400 600 800 7.1 J/cm2 per day 1000 Day 1200 14 J/cm2 per day 3.8 J/cm2 per day 1400 1600 1800 Uptime average: 67% in 07, 85% in 08, 65% through WW38 in 09 Continuous improvement in output efficiency 14J/cm 2 /day currently On track to deliver more dose in 2009 than in 2008 Improved resolution and expanded process window Long term upgrade path defined down to ~10nm HP > 250 Resists Screened in 08. Goal > 500 in 09 12

13 105 90 75 60 45 30 15 0 Intel EUV Resist Team New Materials Benchmarked 2H 07 - Present 2007 2008 = 241 2009 = 424 (YTD) Albany emet Intel MET EUV Resists Benchmarked / Month JUL AUG SEP OCT NOV DEC JAN FEB MAR APR MAY JUN JUL AUG SEP OCT NOV DEC JAN FEB MAR APR MAY JUN JUL AUG SEP (F) OCT (F) SCHED INTEL-MET UPGRADE UNSCHED INTEL-MET DOWNTIME

Quadrupole Aerial Image Quality on Intel MET NA = 0.30, On-Axis Dipole vs Quadrupole Comparison Quadrupole 0.68/0.36 (max outer σ /inner σ) On-axis dipole On-axis dipole 0.68/0.36 SEM SEVR-115 Acrylic EUV resist; FT = 40 nm Test match between simulation and experiment; Nice way to experimentally probe contrast required for EUV platform Demonstration of meaningful CAR solution for EUVL at 22nm HP Attribute limitations to exposure tool, mask, or material 14

ILS (1/um), Contrast (%) 120 100 80 60 40 20 MET Quad Source Enables 22nm HP ILS Contrast 0 10 12 14 16 18 20 22 24 26 28 30 32 HP (nm) From mid-2009 Quad 0.68/0.36 30nm HP 28nm HP 26nm HP 24nm HP 22nm HP 20nm HP 15

New MET Dipole Source Enables 18nm HP Intel MET on-axis dipole capable of imaging 18nm HP Contrast begins to fall off at 17nm HP Currently mask and/or resist limited 20 nm HP 19 nm HP On-axis dipole 15 nm HP 16 nm HP 17 nm HP 18 nm HP 0.68/0.36 σ 16

Berkeley ALS-MET (Rotated Dipole) :: Champion RLS Summary for 30 + 22 hp Resist D Esize = 13.75 mj Min LWR = 4.8 nm UR ~ 28 nm HP SMT01 Esize = 10.80 mj Min LWR = 6.2 nm UR ~ 24 nm HP Resist E Esize = 9.95 mj Min LWR = 6.3 nm UR~ 24 nm HP Resist F Esize = 6.85 mj Min LWR = 5.3 nm UR~ 26 nm HP 22 HP 30 HP Champion CAR platforms Nominally Meeting 22nm HP R/S Targets but Failing for LWR/PC 17

Pattern Collapse Margin Improvement Multiple approaches may be needed to address problem Modify Aspect Ratio Surface (Energy) Optimization: Hydrophobicity, Multilayer stacks Increased resist modulus, Negative Tone & Semi-organic Resists Decreased Surface Tension: Rinse agents, Organic Developers, Develop/Rinse/Spin Dry Process Optimization Pattern Collapse Mitigation is a key area of focus 18

LWR Reduction Techniques No Treatment Technique Etch/Trim Vapor Smoothing Hardbake Ozonation Rinse Etch/Trim Reduction (nm) 0.5 0.9 0.6 0.5 2 Reduction (%) 10 18 12 10 40 Vapor Hardbake Ozonation Physical (Etch/Trim, Hardbake) Photoresist chemistry independent Chemical (Vapor, Ozonation, Rinse Agent) Photoresist chemistry dependent Rinse Chandhok et al, J. Vac. Sci. Technol. B, (Nov 2008) Multiple techniques may be needed to address LF & HF roughness Largest LWR Improvement Seen with Rinse Agent 19

Current Target Improvement Required Resist and Tooling Gaps Source Power Current Target Improvement Required Photoresists (32/22nm HP) Power (W) ~20 200 10X Photospeed (mj/cm 2 ) 10/20 10 None/2X Current Target Improvement Required 3σ LWR (nm) 3.8/6.4 1.9/1.28 2X/5X Scanner Runrate Runrate (wph) 5 100 20X Summary: Good progress made to date Need continued work to bridge (or significantly reduce) gaps for both performance and COO 20

Reticles 21

HVM Reticle Infrastructure Requirements Reticle Requirements Mask Shop Mask Manufacturing Mask Cleaning Blank inspection Patterned inspection AIMS inspection Fab In-situ Inspection Patterned Inspection Need inspection capability in both the mask shop and the fab to ensure manufacturable operations 22

Intel s Mask Tool Pilot Line 1G & 2G Blank Inspection Flatness EUV Refl Film Dep 3G Actinic Blank Inspection Sorter Patterned mask inspection Mask Clean EUV AIMS 23

Mask Blank Yield Gap for Pilot Line and HVM Introduction Determine Defect Density Target 0.003 defects/cm 2 @ 18 nm is the historical defect free target However, recent data suggests only 10-20% of defects print The ultimate HVM defect density target might be 0.01 defects/cm @ 18 nm Today: 1 defect/cm 2 @18nm Gap to Pilot: > 25x Gap to HVM: >100x Mask yield with zero defects 100% 90% 80% 70% 60% 50% 40% 30% 20% 10% 0% 0.1 0.06 Pilot Line Yield 0.04 1.0 0.5 defects/cm 2 Today 10-20% of defects print 0.02 HVM Pilot 0.003 0.01 HVM Yield 1% 10% 100% Percentage of defects that are printable Data from Sematech 24

Mask Blank Defect Trends 1.E+04 1.E+03 80nm defect size 50nm defect size RM-for blank need by 2012 RM-for blank need by 2014 3G Actinic Inspection Defect counts 1.E+02 1.E+01 80nm 45nm 50nm 45nm 35nm 35nm 30nm 3G tool 25nm Actual Needed 25nm 20nm 1.E+00 1G inspection tool 2G tool Jan-06 Jan-07 Jan-08 Jan-09 Jan-10 Jan-11 Jan-12 Jan-13 Jan-14 Metrology Tool Gap Limits HVM Insertion! 25

New Blank Defect Inspection Capability M1350 M7360 Blank inspection tool Laser source λ > 98% capture rate Def. on quartz substrate Def. on ML blank G1 (M1350) 488 nm 2004-Q2 08 70 nm 80 nm Q3 08 45 nm 50 nm G2 (M7360) 266 nm Q1 09 35 nm 40 nm 2 nd -gen mask blank inspection tool successfully installed in June Inspectability will be further extended with spatial filter upgrade in Q4 Moving toward ultimate 25nm inspection requirement for 2010-11 26

AIMS and Patterned Defect Inspections AIMS: Industry requirement: 22nm hp+ defect repair verification with scanner conditions Strategy for 2013 HVM: HVM tool requires commercial partner, but market is small Consortium model attempts underway July summit Patterned: Industry Requirement: Patterned defect inspection at 22nm HP KLA6XX will achieve 32nm and some 22nm HP performance Strategy for 2013 HVM: Market is sizeable and tool cost significant Suppliers unwilling to bear $250M NRE cost alone July summit SEMATECH contribution will be to broker funding model 27

July Semicon Meeting on EUV Reticles Meeting was held with good participation from suppliers, mask shops, IDM s, and consortia. Technical and business working groups have been busy Available data has been shared and leveraged as appropriate Some additional data collection underway to validate specs Stakeholders should provide clear feedback on funding models A benefit for assuming risk is equivalent to a penalty for standing by (e.g. ROFRs, royalties, etc.) Letters of Intent will be requested this year, commitments early 2010 Now is the time to get on board! 28

HVM Pilot Development EUV Mask Inspection Tools Summary Defect Size [nm] 51 67 41 35 20 15 Substrate 53 40 25 20 15 Blank Substrate & Blank Lasertec M1350 (1st Generation) M1350 & M7360 @ SEMATECH Lasertec M7360 (2nd Generation) 2.5 Generation Substrate Inspection Tool (2.5G) (Supplier TBD) (can also be used for destructive blank inspection) Table from Sematech SEMATECH Berkeley AIT Selete MIRAI 3 rd Generation Blank Inspection Bridge Tool (3G ) (Supplier TBD) Commercial 3G Inspection Tool (Supplier TBD) ABC = Existing Tools AIMS & Patterned SEMATECH Berkeley AIT 88 nm mask CD resolution Key for defect printability understanding SEMATECH Berkeley AIT2 60 nm mask CD resolution AIMS Bridge tool Commercial AIMS Tool (Supplier TBD) KLA 5XX KLA 6XX Actinic Patterned Inspection (Supplier TBD) = Actinic Inspection Tools 29

Particle-free Reticle Handling Progress 0.20 Average Adders 0.10 0.00 0.10 0.01 0.00 0.11 spod Carrier Shipping Vacuum Storage Total He, et al. Proc. SPIE 6921, 69211Z (March 21, 2008) E152 standard compliant prototype (spod) shows reticle protection down to 0.1 added particles per lifecycle @53 nm. with Inner Pod Exposed 30

In-situ Inspection Need to verify reticle cleanliness AFTER loading into scanner and BEFORE printing wafers Repeater concern is serious due to lack of pellicles ArF scanners have in-situ reticle inspection capability Not having in-situ capability would require printing of defect look-ahead wafers Manageable in development and perhaps in pilot line mode Unacceptable for HVM Need focus from tool vendors to have capability avaialable in HVM tooling platforms 31

Reticle Technical and Infrastructure Gaps Current reticle defectivity gap is about 25-100X Need continuous improvement Relaxation of flatness spec might help bridge gap Inspection gaps Actinic blank inspection Patterned defect inspection spec vs. actual In-situ inspection AIMs inspection SEMATECH is adopting a bridge tool solution for actinic blank and AIMS inspection so that some capability will be available for pilot line in 2011 Production actinic inspection, AIMS, and patterned inspection will require industry-wide funding (July workshop) 32

Summing Up 33

HVM Gaps - Overall Full field production scanner Source Resist Mask Blank Multilayer Dep Actinic Blank Inspection Actinic Defect Review Mask Patterned Inspection Suppliers building solutions? Yes Yes Yes Yes No No No Estimated Cost for HVM Solution Funded Funded Funded Funded >50M >50M >100M Table data from Bryan Rice, Sematech SEMATECH s EUV mask infrastructure strategy is: Obtain support from various partners (public and private) Commit most of SEMATECH s Litho budget to mask infrastructure over next four years Need industry consensus on required funding to bridge gaps 34

EUV Cost-Effectiveness COO! 35

Conclusions Substantial progress made on resist and tooling Resists typically about 2X from goal for sensitivity/lwr Laser power about 10X from goal Overall tool runrate requires ~ 20X improvement to 100wph goal Reticle defectivity is a major concern Blank defectivity needs substantial improvement Relaxation of flatness requirement might provide some mitigation Reticle inspection capability has major gaps. Need industry funding to enable tooling to be developed in time for HVM Academic exercise is over!! EUV has moved from research to implementation mode Problems left to be solved are largely engineering in nature Need sustained focus and industry-wide commitment to solve Ultimately EUV insertion will be based on a COO decision vs. ArF 36

No Exponential is forever, but we can delay forever Gordon Moore Scaling + Yield Defect Density (Log Scale) Source: http://singularity.com Will EUV performance and COO enable us to continue to delay forever? forever? 37