EUVL Readiness for High Volume Manufacturing

Similar documents
Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing

EUVL for HVM: Progress Update

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

EUV Lithography Towards Industrialization

EUV Lithography Status and Key Challenges for HVM Implementation

Actinic review of EUV masks: First results from the AIMS EUV system integration

Progress on ASML s EUV Alpha Demo Tool

EUV lithography industrialization for HVM

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond

Status of EUV Sources for Mask Metrology

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC

ASML Approach to Euv Reticle Handling

IEUVI Mask Technical Working Group

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

Analysis of carbon contamination on EUV mask using CSM/ ICS

Current development status of Shin-Etsu EUV pellicle

Resist-outgas testing and EUV optics contamination at NIST

Cost of Ownership Considerations for Maskless Lithography

Overview of EUV Lithography and EUV Optics Contamination

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG

Chromeless Phase Lithography (CPL)

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

Cost Implications of EUV Lithography Technology Decisions

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Recent progress in nanoparticle photoresist development for EUV lithography

Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems

Lecture 14 Advanced Photolithography

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA

Hyper-NA imaging of 45nm node random CH layouts using inverse lithography

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT

More on Stochastics and the Phenomenon of Line-Edge Roughness

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

E152 Standard Revision: EUV-pod Reticle Carrier

Wavelength-Specific Reflections A Decade of EUV Mask Inspection Research

Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World

Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X

Critical Dimension Uniformity using Reticle Inspection Tool

Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law

Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007

Resist material for negative tone development process

We published the text from the next page.

T h e Y i e l d M a n a g e m e n t C o m p a n y Electrostatic Compatibility in Photolithography An OEM perspective

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

1 INTRODUCTION 2 SAMPLE PREPARATIONS

Ebeam based mask repair as door opener for defect free EUV masks M. Waiblinger a, Tristan Bret a, R. Jonckheere b, D.

EUREKA: A new Industry EUV Research Center at LBNL

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES

Lithography. The Crystal Growth and Reticle Degradation Exposé. Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation

Lecture 8. Photoresists and Non-optical Lithography

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine

Polymer Matrix Effects on EUV Acid Generation

SMP625 Product Specifications

Nanoparticle Contamination Control and Metrology for the EUVL Systems

UV2Litho Usable Vacuum Ultra Violet Lithography

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

High Optical Density Photomasks For Large Exposure Applications

Lecture 0: Introduction

Sensors and Metrology. Outline

Photolithography II ( Part 1 )

Impact of Pellicle on Overlay in Double Patterning Lithography

Nanoimprint Lithography

Discussions start next week Labs start in week 3 Homework #1 is due next Friday

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Molecular Organometallic Resists for EUV (MORE) October 6, 2013

LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING

Single Pass Die to Database Tritone Reticle Inspection Capability

Next: 193nm Lithography

Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry

Figure 1 below shows the generic process flow of an LELE method of double patterning.

Litho scenario solutions for FinFET SRAM 22nm node

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists

Silicon VLSI Technology. Fundamentals, Practice and Modeling

The Waferstepper Challenge: Innovation and Reliability despite Complexity

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

PERSPECTIVE STABLE ISOTOPE RATIO MS

MICRO AND NANOPROCESSING TECHNOLOGIES

ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Measurement of the role of secondary electrons in EUV resist exposures

Evolution of Optical Lithography towards 22nm and beyond Donis Flagello

Fast Non-destructive Optical Measurements of Critical Dimension Uniformity and Linearity on AEI and ASI Phase-shift Masks

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas

ORION NanoFab: An Overview of Applications. White Paper

Molecular Glass Resist with Organic Developer

Molecular Electronics For Fun and Profit(?)

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Graphene Pellicles. Richard van Rijn

Transcription:

EUVL Readiness for High Volume Manufacturing Britt Turkot Intel Corporation

Outline Exposure Tool Progress Power Availability Intel demo results Reticle Defectivity Pellicle Materials Conclusion 2

Source Power Improvements Trending to Plan Updated from 2016 SPIE Progress remains on track for EUV exposure source power 3

Source Power with Dose Control Must ensure satisfactory dose control at high power 4

Droplet Generator: run-time and predictability improved Improved Stabilized Out of control Improved Stabilized Next step increase predicted run-time - Option 1: increase Sn reservoir - Option 2: refill Sn reservoir ASML developing Option 2 - Multiple refills demonstrated - Refill G2G << swap G2G Updated from 2016 SPIE Last 1 Year >3X increase in run-time Improved predictability in actual vs. expected run-time 5

Expect significant improvement in system availability 6

From 2016 SPIE Extended NXE:3300 demo of availability and predictability 21hrs/day wafer cycling with mix of test-chip wafers and bare silicon 14nm pilot line (CDs, overlay, in-line defects, e-test, EOL yield) 3-hr daily Intel engineering window (no tool work) Availability counted 24hrs/day. Wafer output targets set for 21hrs/day in 80W config. (actual 60W) Only good wafers (meeting dose control specs) counted Goal: demonstrate tool can run as advertised for 80W config today, including imaging and overlay 7

NXE:3300 Demo: Combined Scanner/Source Availability Combined 4-week availability ~70% Combined availability meets expectations 8

13-week Demo: Collector Reflectivity Effect on TPT No collector swap Collector degradation shown by decreasing throughput as a result of reflectivity follows roughly linear trend 9

Delta to Tgt (nm) Delta to Tgt (nm) Intel s 14nm Pilot Line: CD trend Updated from 2016 SPIE +1 0-1 Resist Batch Change Collector Swap > 1 year Resist Batch Change Stable Via CD performance trend continues Introduction of a second tool within existing distribution +1 0-1 > 1 year 10

Overall exposure tool messages Source power Source power continues to make progress and remains on track Need to maintain exposure dose control System availability and demonstrated performance Source remains largest contributor to tool down-time Expect ongoing droplet generator developments to significantly improve availability 13-week demo complete with scanner/source combined availability on target 14nm pilot line CD performance stable longer than 1 year on multiple tools Focus must remain on system availability tools must be up to support technology development 11

Outline Exposure Tool Progress Power Availability Intel demo results Reticle Defectivity Pellicle Materials Conclusion 12

13-week Demo: Reticle Defectivity 13-week Demo: Reticle Defectivity Comparable results for printable reticle defects Mismatch remains between ASML added particle count and wafer print test 13

Reticle Defectivity Improvement Efforts Even with defect reduction efforts, a pellicle is required to ensure yield 14

800 wafers exposed using reticle with 40W pellicle Updated from 2016 SPIE NO RETICLE ADDERS OBSERVED IN WAFER PRINTS Particles on pellicle do not appear to migrate to reticle surface ASML pellicle frame design is mitigating adder rate defectivity assessment continuing Global transport Multiple location handling 15

Exposure effects on pellicle membrane 16

Pellicle development and infrastructure Full field pellicle membrane exposed in the scanner From 2016 SPIE Pre-pelliclized defectivity reticle Pellicle mounting and support tooling is being tested and made available to customers Pellicle defect inspection is under development Pre-pelliclized imaging reticle Pellicle transmission measurement is available at tool suppliers Measured in every 5mm Courtesy of EUV Tech 17

Pellicle membrane defects Inspections done on three mounted pellicles All have high defect counts Requirements for HVM: Improved defect levels Reliable supply chain Membrane material for high power Imaging performance From 2016 SPIE >1000 counts @>5um De-sensed, ~>10um Particle on pellicle observed in wafer print 18

Overall EUV pellicle infrastructure Updates from 2016 SPIE Basic tool capability exists today to support membrane materials development and quality control Basic tool capability exists today for pellicle membrane defect inspection Basic tool capability exists today for measuring pellicle membrane transmission uniformity accurately and precisely Demonstrated pellicle exposure with global transport and handling 800 exposures with pellicle frame design mitigating adder defects Making progress with pellicle mounting tools on track Availability of quality pellicle membranes is the highest risk to timely EUV pellicle implementation remains high risk Inspection of pelliclized reticles is needed to ensure predictable yield. APMI is not a show-stopper, but without it yield and cost may be an issue. 19

Bottom line: N7 mask without repair: no printing defects From 2015 ieuvls See invited paper 53 by Ted Liang Progress and Opportunities in EUV Mask Development Session 8: Mask -3 on Thursday afternoon 20

From 2015 BACUS Defect mitigation demonstrated on multiple devices Many defects can be mitigated More defects can be covered on a dark field mask Mitigation flow Blanks with fiducials Sort and pair blank with specific pattern layer Pattern shift computations These two steps require fast data automation During write: accurate alignment After patterning: AIMS verification 7 mitigated (22nm device, BF) 10 mitigated (14nm device, DF) Foil from Ted Liang (Intel) et al. BACUS 2015, Monterey, California, USA, 9/29/2015 Complicated process to mitigate defects continued blank defect reduction needed 21

From 2016 SPIE E-beam pattern defect repair capability well-established Pre-repair Post-repair Pre-repair Post-repair Analysis SHARP image Patching repair Cutting repair 22

Overall reticle messages From 2016 SPIE Manufacturing EUV reticle fabrication is maturing and matching to HVM Stable EUV reticle performance has been demonstrated Defect-free EUV reticles are achievable; yield improvement is a challenge Defect mitigation process is well established, but it is not ideal due to process complexity and risks Materials Defect-free blanks in volume need to be materialized for HVM Progress has been made in pellicle material development, but slow and needs to be accelerated Tools AIMS development is in the integration stage and needs to remain on schedule Reticle defect inspection through pellicle requires a clear path for commercialization Yield improvement, cost control, and ecosystem development remain the focus areas in EUV mask fabrication 23

Outline Exposure Tool Progress Power Availability Intel demo results Reticle Defectivity Pellicle Materials Conclusion 24

EUV Photoresists: The Photon Shot Noise Problem For 10 10 contacts, some contacts will see 7σ fluctuations High photospeed: Some contacts may not print at all 25

EUV Photoresists: The Resist Stochastic Problem 24 nm hole 16nm hole x y O O z Incident photons 4610 2050 Absorbed photons in aerial image 700 215 N PAG (0.15/nm3) 3260 970 OH resist polymer some variation in x, y and z 7s PAG variation 26% 48% N Quencher (1/5 PAG) 650 190 7s Q variation 27% 50% S + S + Assumes a dose of 15 mj/cm2 and EUV Absorbance 5 /um X CF 2SO3 - O PDQ O - PAG Need thinner film thickness Large variations expected for PAG and quencher concentration Need to remove the sources of stochastic variation 26

Effect of Resist Structure on Stochastics * Source IMEC 2016 PTW EUV absorbance 5 /um More efficient: ~2x more electrons per absorbed photon than inorganic resists* Multicomponent system (polymer, PAG, quencher) EUV absorbance 15 /um Higher absorption: ~2x electrons per incident photon than EUV CAR* Single component system Inorganic systems absorb more photons, but are less efficient in doing resist chemistry. Smaller size and single-component nature provide higher effective density of photon-absorbing sites Simple resist structure may improve resist stochastics 27

EUV Materials: next steps We need to address all sources of stochastic variation in resists High EUV absorption High efficiency in utilizing absorbed photons and facilitating resist chemistry Random mixing of resist components can lead to significant resist component noise A Mechanistic understanding of novel resists is key to assess resist stochastic benefits In nanoparticle resists, what causes solubility switch, what is the analog of PAG / quencher? With higher absorption, why do nanoparticle resists require high dose? 28

Outline Exposure Tool Progress Power Availability Intel demo results Reticle Defectivity Pellicle Materials Conclusion 29

What gates EUV implementation in HVM? Judged as of today EUVL is highly desirable for the 7nm node but will only be used when it is ready Technology Development requires rapid information turns Availability: tools must be up to run TD wafers without delay This remains the critical, gating concern today HVM requires reasonable COO and predictability, driven by: Productivity (mostly source power) Good progress Availability (mostly source availability) Long way to go, but demonstrating progress OpEx (mostly source consumables) Long way to go, but demonstrating progress HVM requires confidence in yield, driven by: Mask (blank and manufacturing) defects and mitigation Demonstrating good progress Pellicle readiness Long way to go, but making progress Mask pattern defect detection (manufacturing and fall-on particles) Need actinic solution for long term Materials performance Won t gate introduction of EUV, but need to improve stochastics for long term 30

Acknowledgements Steve Carson (Intel) Florian Gstrein (Intel) Mark Phillips (Intel) Anna Lio (Intel) Sam Sivakumar (Intel) Brian McCool (Intel) Eric Stenehjem (Intel) Ted Liang (Intel) Guojing Zhang (Intel) Tim Crimmins (Intel) Jeff Farnsworth (Intel) Sang Lee (Intel) Dan Smith (ASML) 31

Backup

EUVL mask blank ML defect trend Blank quality continues to improve Defect # in single digit on best blanks Large defects mostly eliminated on quality blanks AGC From 2015 BACUS Hoya Courtesy of Hoya Zero large defects possible Courtesy of AGC Analysis presented at EUV Symp 2011, still true today Foil from Ted Liang (Intel) et al. BACUS 2015, Monterey, California, USA, 9/29/2015 Blank quality for patterning tighter pattern layers Eliminate ML defects > hp; difficult to covered by absorber Reduce ML phase defects; become printable, require actinic blank inspection 33

Outline Exposure Tool Progress Power Availability Intel demo results Reticle Defectivity Pellicle Materials EUV Extension Conclusion 34

EUV Extension: High NA 35

EUV Extension: High NA 36