TCAD Modeling of Stress Impact on Performance and Reliability

Similar documents
Reliability of 3D IC with Via-Middle TSV: Characterization and Modeling

Thermo-structural Model of Stacked Field-programmable Gate Arrays (FPGAs) with Through-silicon Vias (TSVs)

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Chapter 3 Basics Semiconductor Devices and Processing

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

NEW ANALYTICAL MODEL AND SIMULATION OF INTRINSIC STRESS IN SILICON GERMANIUM FOR 3D NANO PMOSFETS

MOSFET: Introduction

Research Challenges and Opportunities. in 3D Integrated Circuits. Jan 30, 2009

A Fast Simulation Framework for Full-chip Thermo-mechanical Stress and Reliability Analysis of Through-Silicon-Via based 3D ICs

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

1 INTRODUCTION 2 SAMPLE PREPARATIONS

EV Group. Enabling processes for 3D interposer. Dr. Thorsten Matthias EV Group

EE 292L : Nanomanufacturing. Week 5: Advanced Process Technology. Oct

! CMOS Process Enhancements. ! Semiconductor Physics. " Band gaps. " Field Effects. ! MOS Physics. " Cut-off. " Depletion.

FEM Analysis on Mechanical Stress of 2.5D Package Interposers

THERMOMECHANICAL ANALYSIS OF ELECTRONIC PACKAGE USING FINITE ELEMENT METHOD

Temperature-dependent Thermal Stress Determination for Through-Silicon-Vias (TSVs) by Combining Bending Beam Technique with Finite Element Analysis

! CMOS Process Enhancements. ! Semiconductor Physics. " Band gaps. " Field Effects. ! MOS Physics. " Cut-off. " Depletion.

Thin Film Transistors (TFT)

TABLE OF CONTENTS CHAPTER TITLE PAGE DECLARATION DEDICATION ACKNOWLEDGEMENT ABSTRACT ABSTRAK

EV Group. Engineered Substrates for future compound semiconductor devices

Dynamic Strain of Ultrasonic Cu and Au Ball Bonding Measured In-Situ by Using Silicon Piezoresistive Sensor

Supplementary Figure 1 shows overall fabrication process and detailed illustrations are given

Reliability assessment for Cu/Low-k structure based on bump shear modeling and simulation method

EE410 vs. Advanced CMOS Structures

Thermal-reliable 3D Clock-tree Synthesis Considering Nonlinear Electrical-thermal-coupled TSV Model

Lecture 9. Strained-Si Technology I: Device Physics

L ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling

BACKEND IMPLICATIONS FOR THERMAL EFFECTS IN 3D INTEGRATED SOI STRUCTURES

CMPEN 411 VLSI Digital Circuits. Lecture 03: MOS Transistor

Feature-level Compensation & Control. Process Integration September 15, A UC Discovery Project

ECE520 VLSI Design. Lecture 8: Interconnect Manufacturing and Modeling. Payman Zarkesh-Ha

Lecture 12: MOS Capacitors, transistors. Context

ECE 342 Electronic Circuits. Lecture 6 MOS Transistors

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems

ECE 340 Lecture 39 : MOS Capacitor II

Electrical Characterization of 3D Through-Silicon-Vias

EE 5211 Analog Integrated Circuit Design. Hua Tang Fall 2012

Section 12: Intro to Devices

Stress in Flip-Chip Solder Bumps due to Package Warpage -- Matt Pharr

Ratcheting deformation in thin film structures

Design of Power Electronics Reliability: A New, Interdisciplinary Approach. M.C. Shaw. September 5, 2002

SECTION: Circle one: Alam Lundstrom. ECE 305 Exam 5 SOLUTIONS: Spring 2016 April 18, 2016 M. A. Alam and M.S. Lundstrom Purdue University

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems

ECE 305 Exam 5 SOLUTIONS: Spring 2015 April 17, 2015 Mark Lundstrom Purdue University

Fabrication Technology, Part I

Lecture 0: Introduction

Superconducting Ti/TiN thin films for mm wave absorption

Thermal Interface Materials (TIMs) for IC Cooling. Percy Chinoy

EE C247B / ME C218 INTRODUCTION TO MEMS DESIGN SPRING 2016 C. NGUYEN PROBLEM SET #4

EE143 LAB. Professor N Cheung, U.C. Berkeley

EE130: Integrated Circuit Devices

Classification of Solids

Chapter 2. Design and Fabrication of VLSI Devices

From Physics to Power, Performance, and Parasitics

Outline. 4 Mechanical Sensors Introduction General Mechanical properties Piezoresistivity Piezoresistive Sensors Capacitive sensors Applications

! Previously: simple models (0 and 1 st order) " Comfortable with basic functions and circuits. ! This week and next (4 lectures)

Hybrid Wafer Level Bonding for 3D IC

Multiple Gate CMOS and Beyond

The Devices: MOS Transistors

Section 12: Intro to Devices

Film Deposition Part 1

CVD-3 SIO-HU SiO 2 Process

Thermal aspects of 3D and 2.5D integration

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

MOS Transistor Properties Review

Performance Enhancement of P-channel InGaAs Quantum-well FETs by Superposition of Process-induced Uniaxial Strain and Epitaxially-grown Biaxial Strain

Chapter 7 Mechanical Characterization of the Electronic Packages

A semiconductor is an almost insulating material, in which by contamination (doping) positive or negative charge carriers can be introduced.

Supplementary Information for On-chip cooling by superlattice based thin-film thermoelectrics

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Coupling Capacitance in Face-to-Face (F2F) Bonded 3D ICs: Trends and Implications

Drop Impact Reliability Test and Failure Analysis for Large Size High Density FOWLP Package on Package

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa

Chapter 12: Electrical Properties. RA l

3D Stacked Buck Converter with SrTiO 3 (STO) Capacitors on Silicon Interposer

Chapter 10 3D Integration Based upon Dielectric Adhesive Bonding

Novel Approach of Semiconductor BEOL Processes Integration

nmos IC Design Report Module: EEE 112

Quiz #1 Practice Problem Set

Simulation of the Influence of Manufacturing Quality on Thermomechanical Stress of Microvias

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing

! Previously: simple models (0 and 1 st order) " Comfortable with basic functions and circuits. ! This week and next (4 lectures)

Lecture 1. OUTLINE Basic Semiconductor Physics. Reading: Chapter 2.1. Semiconductors Intrinsic (undoped) silicon Doping Carrier concentrations

Gold wire bonding on Low-k Material A new challenge for interconnection technology

Fundamentals of ANALOG TO DIGITAL CONVERTERS: Part I.3. Technology

Thin Wafer Handling Debonding Mechanisms

Analytical Modeling of Threshold Voltage for a. Biaxial Strained-Si-MOSFET

CVD-3 LFSIN SiN x Process

Lecture Outline. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Review: MOSFET N-Type, P-Type. Semiconductor Physics.

A Temporary Bonding and Debonding Technology for TSV Fabrication

Wafer-scale fabrication of graphene

Enhanced Mobility CMOS

an introduction to Semiconductor Devices

Alternative deposition solution for cost reduction of TSV integration

Nanocarbon Interconnects - From 1D to 3D

CMOS Transistors, Gates, and Wires

2D MBE Activities in Sheffield. I. Farrer, J. Heffernan Electronic and Electrical Engineering The University of Sheffield

Temperature Cycling Analysis of Lead-Free Solder Joints in Electronic Packaging

Chapter 2 CMOS Transistor Theory. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Transcription:

TCAD Modeling of Stress Impact on Performance and Reliability Xiaopeng Xu TCAD R&D, Synopsys March 16, 2010 SEMATECH Workshop on Stress Management for 3D ICs using Through Silicon Vias 1

Outline Introduction Stress in device, interconnect and TSV stack Stress impact on performance and reliability TCAD stress modeling Modeling requirements Multi-scale process simulation with layout Performance and reliability analysis Stress management with TCAD Device stress engineering Design and technology exploration Summary 2

Ubiquitous Mechanical Stress Gate Cap layer Spacer Low-k Si 3 N 4 Silicon die 2 μ-bump Mechanical Failure and Reliability in Stressed 3D Structures STI SiGe S/D Cu Low-k Die 1 TSV Z 001/110 Y X SiO 2 Silicon Bump Package Substrate Performance Modulation in Stressed Transistors Typical stress source: o Thermal mismatch due to temperature ramps o Lattice mismatch from epitaxy grain growth o Intrinsic stress due to material bonding o Force rebalance after etching, deposition, thinning, bumping, and stacking Stress impact: o Band structure change induces device performance variation o Mechanical deformation leads to damage and reliability degradation 3

Stress Impact on Device Performance Far Close Compressive ESL simulations K.V.Loiko et al. 2006 AMAT/IMEC/SNPS 2006 4

Stress Impact on BEOL Reliability 3D structure from Layout Process Distinct materials Non-uniform stress Cracking in Dielectrics J. McPherson, TI, 2006 Voiding in Copper K. Ueno, NEC 2005 De-lamination along Low k Interface T. Huang, TSMC, 2006 5

TSV Mechanical Stress Related Concerns TSV extrusion and de-lamination - P. Ho, RTI 3D Symposium 2009 New Stress Sources New thermal mismatch stresses Copper grain growth stress in TSV New material interactions Stress Concern Examples Manufacturability Effect of thin die warping Effect of die stacking Reliability Cracking around TSV Layer de-bonding and de-lamination TSV deformation and voiding Performance (mobility) variability Stress relaxation due to thinning TSV and u-bump proximity effects Performance shifting after wafer thinning - QCT/IMEC, DATE 2009 6

Outline Introduction Stress in device, interconnect and TSV stack Stress impact on performance and reliability TCAD stress modeling Modeling requirements Multi-scale process simulation with layout Performance and reliability analysis Stress management with TCAD Device stress engineering Design and technology exploration Summary 7

Stress Modeling Requirements Structure generation Fabrication process: e.g. deposition, etching Design layout Stress analysis Stress source Thermal mismatch from process flow Intrinsic bonding from material formation External loading from stacking and packaging Stress evolution Different stress laws for various materials Models for stress effect Stress-to-mobility model for performance Stress-to-damage model for reliability Design and technology exploration Design variables: size, pitch, KOZ, pattern, rules Technology variables: material, insulation, wafer thinning 8

TCAD TSV 3D Simulation Flow Process Info Layout Info Deposition Material=Oxide thickness=0.3 Etch mask=metal_2 Material=Oxide thickness=0.3 Process Simulation Finite Element Analysis Material Property Database Mobility Variation Global Model Reliability Effective Stress 3D Structures Solution Fields Reliability Analyses Mobility Variations Submodel 1 Submodel 2 Submodel 3 t=400um Die Thinning t=20um 9

TSV Process/Stress Simulation Example FEOL TSV BEOL Thinning Backside μ-bump Stacking FEOL TSV BEOL TSV: deep etch oxidize plate and fill (cu) TSV Silicon BEOL Thinning Backside Die 1 μ-bump Stacking Die 2 μ-bump Die 1 Hydrostatic Stress before and after Die 1 and 2 Stacking Die 1 High TSV Stress Die 2 Die 2 MPa Die 1 Process simulation for TSV and stacking is required to track the stress evolution. Same stress results can be used to analyze reliability and mobility change. 10

Stress Impact on Electrons and Holes Stressed Electron Band Change under Stress m l mt E c [001] E c [010] [001] valley lowered and [010] [100] valley raised with stress m t < m l Δ 4 Δ 2 E c [100] Carrier repopulation into lower Δ 2 valley with small transport mass along <110> Hole Band Change under Stress Relaxed Stressed <110> mass decreased with compressive stress Carrier repopulation into valley with smaller <110> mass 11

Stress Induced Voiding and Cracking Stress Migration Model for Metal Voiding 2 1 C 1 C = σ H D t kt K. Ueno, NEC 2005 T.C. Huang, et al., IITC 2003 -T n /σ max 1.5 1 0.5 0-0.5-1 Cohesive Zone Model normal -T t /τ max 1.5 1 0.5 0-0.5-1 tangential Barrier Low k -1.5-1 0 1 2 3 4 5 6 7 Δ n /δ n M4-1.5-3 -2-1 0 1 2 3 Δ t /δ t X. Xu and A. Needleman, 1994, JMPS De-bonding Oxide M3 Copper M2 Oxide J. McPherson, TI, 2006 Unit: % (normalized to initial concentration) Accumulated vacancy density in metal 12

Silicon Mobility Variation around TSV 30 001 Wafer, 110 Flat Orientation TSV Array Mobility Variation (%) 25 20 15 10 5 0 n Si, Cu Via p Si, Cu Via 5 Barrier Si 10 0 2 4 6 8 10 12 Distance along y axis (micron) Cu Layout: 5/25 Mobility Variation (%) 5 0 5 10 15 20 25 30 n Si, Cu Via p Si, Cu Via 0 2 4 6 8 10 12 Distance along x axis (micron) 13

Thermal Stress Induced TSV Pop-up Expansion Contraction Szx (MPa) ΔT > 0 ΔT < 0 Large shear stress at TSV-silicon interface leads to de-bonding 14

Sub-modeling Barrier (Oxide) TSV TSV Epoxy Landing Pad Oxide Low-k Nitride r a e m S Landing Pad Si Metal Lines y z x Global TSV structure and submodeling Landing pad and metal lines in the submodel (back view) 15

Outline Introduction Stress in device, interconnect and TSV stack Stress impact on performance and reliability TCAD stress modeling Modeling requirements Multi-scale process simulation with layout Performance and reliability analysis Stress management with TCAD Device stress engineering Design and technology exploration Summary 16

Stress Engineered Transistors 20nm nmos 20nm pmos Tensile CESL Recessed SiC S/D Geometry optimization Compressive CESL Elevated SiGe S/D Geometry optimization 001/110 SNPS @ ECS 2005 17

Keep Out Zone around TSV 001 Wafer, 110 Flat Orientation Layout: 5/30 Si/STI/TSV Active: 0.5/1.0 STI: 0.5 KOZ: Keep Out Zone KOZ Sxx in Silicon (MPa) P-Si Mobility Variation (%) 18

TSV Diameter Impact on Performance TSV Diameter = 5 um 001 Wafer, 110 Flat Orientation 40 TSV Diameter = 10 um ~38% higher normal stress Sxx (MPa) Mobility Variation (%) 35 30 25 20 15 10 5 p Si, Cu Via d=10 um d=5 um 0 0 2 4 6 8 10 12 Distance along y axis (micron) Larger TSV diameter leads to larger mobility change in silicon 19

TSV Diameter Impact on Reliability Expansion ~112% more max displacement ΔT > 0 D = 5 um D = 10 um Szx (MPa) Szx (MPa) Larger TSV diameter leads to larger deformation and shear stress 20

Insulation Material Impact on Performance 001 Wafer, 110 Flat Orientation 30 Oxide > 80% modulus reduction ~50% less normal stress Sxx (MPa) Mobility Variation (%) 25 20 15 10 5 Low k Oxide p Si, Cu Via 0 0 2 4 6 8 10 12 Distance along y axis (micron) Low k Low k insulation reduces mobility variation in silicon 21

Insulation Material Impact on Reliability ΔT > 0 ~70% more displacement Oxide insulation Low k insulation Low k insulation provides less resistance to Cu extrusion 22

TSV Material Effects Cu Si W Si Cu Cu Copper TSV Tungsten TSV Effective Stress Tungsten has less mismatch with silicon but more with copper 23

Summary Large mechanical stresses are present in device, interconnect, and TSV stack. Complex stress interactions impact both performance and reliability. 3D TCAD process simulation of stress evolution provides valuable insights for tech tuning and stress management. Studies on stress engineering, performance and reliability trade-off are carried out for design and technology explorations. 24