Chemical Mechanical Planarization

Similar documents
The Mechanics of CMP and Post-CMP Cleaning

A CONTACT-MECHANICS BASED MODEL FOR DISHING AND EROSION IN

Analytical solution for polish-rate decay in chemical mechanical polishing

Effect of kinematics and abrasive particle dynamics on material removal rate uniformity during polishing

Modeling and control of material removal and defectivity in chemical mechanical planarization

A Mechanical Model for Erosion in Copper Chemical-Mechanical Polishing

Figure 43. Some common mechanical systems involving contact.

Modeling Planarization in Chemical-Mechanical Polishing

Notes on Rubber Friction

Lubrication and Journal Bearings

21 th Annual Workshop on Mathematical Problems in Industry Worcester Polytechnic Institute, June 13 17, 2005

Nanometer Ceria Slurries for Front-End CMP Applications, Extendable to 65nm Technology Node and Beyond

PREFACE. performance of various bearings lubricated with non-newtonian fluids.

21 th Annual Workshop on Mathematical Problems in Industry Worcester Polytechnic Institute, June 13 17, 2005

VIBRATION MODELING IN CMP. M Brij Bhushan IIT Madras

The Effect of Pad-asperity Curvature on Material Removal Rate in Chemical-mechanical Polishing

CHAPTER 1 INTRODUCTION Hydrodynamic journal bearings are considered to be a vital component of all the rotating machinery. These are used to support

Conception mécanique et usinage MECA Hydrodynamic plain bearings

Contact Modeling of Rough Surfaces. Robert L. Jackson Mechanical Engineering Department Auburn University

Lecture 16 Chemical Mechanical Planarization

Normal contact and friction of rubber with model randomly rough surfaces

TE 75R RESEARCH RUBBER FRICTION TEST MACHINE

Improving Yield for High Pin Count Wafer Probing Applications

Lecture 6 Friction. Friction Phenomena Types of Friction

Solutions for Assignment-6

Influence of magnetic fluid through a series of flow factors on the performance of a longitudinally rough finite slider bearing

Fundamental Tribological and Removal Rate Studies of Inter-Layer Dielectric Chemical Mechanical Planarization

A SOFTWARE SOLUTION FOR ADVANCED FRICTION MODELING APPLIED TO SHEET METAL FORMING

Understanding the Life of Power Transmission Elements of Wind Turbine Systems

Plasma Deposition (Overview) Lecture 1

Nano-sized ceria abrasive for advanced polishing applications in IC manufacturing

Film Deposition Part 1

Influential Factors on Adhesion between Wheel and Rail under Wet Conditions

Analysis of contact deformation between a coated flat plate and a sphere and its practical application

CHEMICAL MECHANICAL PLANARISATION OF DAMASCENE ARCHITECTURE SUBSTRATES

Sliding Bearings. Fig.(1) (a) Full-journal bearing and (b) partial-journal bearing

Impact of Modern Process Technologies on the Electrical Parameters of Interconnects

A new model for surface roughness evolution in the Chemical Mechanical Polishing (CMP) process

CONSIDERATIONS ON NANOHARDNESS MEASUREMENT

Slide 1 Raymond Jin, Adcon Lab, Inc.

PARTICLE ADHESION AND REMOVAL IN POST-CMP APPLICATIONS

Thin Wafer Handling Challenges and Emerging Solutions

Effect of Slurry Flow Rate on Tribological, Thermal, and Removal Rate Attributes of Copper CMP

THE NATURE OF FRICTION. Rob Wendland, driving Mike Troxel's Federal-Mogul Dragster In-N-Out Burger

Dry Friction Static vs. Kinetic Angles

process dependencies in nanoimprint

Optical Measurements of Cavitation in Tribological Contacts

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

SPCC Department of Bio-Nano Technology and 2 Materials Science and Chemical Engineering, Hanyang University, Ansan, 15588, Republic of Korea.

MODELING AND SIMULATION OF HYDRAULIC ACTUATOR WITH VISCOUS FRICTION

Taurus-Topography. Topography Modeling for IC Technology

Study of Iso/Dense Bias of BARCs and Gap-Fill Materials on Via Wafers Runhui Huang, Brewer Science, Inc Brewer Dr., Rolla, MO 65401, USA

Friction. Modeling, Identification, & Analysis

University of Bath. Publication date: Document Version Early version, also known as pre-print. Link to publication

Boundary and Mixed Lubrication Friction Modeling under Forming Process Conditions

Sliding Contact Bearings

CHEMICAL MECHANICAL planarization (CMP) is an

Christopher L. Borst Texas Instruments, Inc. Dallas, TX. William N. Gill Rensselaer Polytechnic Institute Troy, NY

Hydrodynamics of Slurry Flow in Chemical Mechanical Polishing

LECTURE 5 SUMMARY OF KEY IDEAS

Outline: Types of Friction Dry Friction Static vs. Kinetic Angles Applications of Friction. ENGR 1205 Appendix B

e - Galvanic Cell 1. Voltage Sources 1.1 Polymer Electrolyte Membrane (PEM) Fuel Cell

MECHANICS, MECHANISMS, AND MODELING OF THE CHEMICAL MECHANICAL POLISHING PROCESS

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

7 The Navier-Stokes Equations

Modeling of chemical mechanical polishing at multiple scales

Agricultural Science 1B Principles & Processes in Agriculture. Mike Wheatland

ETCHING Chapter 10. Mask. Photoresist

CVD: General considerations.

Passionately Innovating With Customers To Create A Connected World

Friction Properties of Surface with Circular Micro-patterns

2008 IMPACT Workshop. Faculty Presentation: CMP. By David Dornfeld, Mechanical Engineering, UC-Berkeley IMPACT CMP 1

Keysight Technologies Measuring Substrate-Independent Young s Modulus of Low-k Films by Instrumented Indentation. Application Note

Contents. Preface XI Symbols and Abbreviations XIII. 1 Introduction 1

EFFECTS OF SURFACE ROUGHNESS WITH MHD ON THE MICRO POLAR FLUID FLOW BETWEEN ROUGH ELLIPTIC PLATES

Introduction to Photolithography

Basic Laboratory. Materials Science and Engineering. Atomic Force Microscopy (AFM)

A scratch intersection model of material removal during Chemical Mechanical Planarization (CMP)

IDENTIFICATION OF FRICTION ENERGY DISSIPATION USING FREE VIBRATION VELOCITY: MEASUREMENT AND MODELING

Numerical analysis of three-lobe journal bearing with CFD and FSI

/$ IEEE

Table of Content. Mechanical Removing Techniques. Ultrasonic Machining (USM) Sputtering and Focused Ion Beam Milling (FIB)

FRICTION FORCES MEASUREMENTS BY THE ACOUSTIC EMISSIONFOR SLIDE BEARING TEST STAND IN UNI OF APPLIED SCIENCE GIESSEN

The SKF model for calculating the frictional moment

Lecture Note for Open Channel Hydraulics

Journal bearing performance and metrology issues

EE C247B / ME C218 INTRODUCTION TO MEMS DESIGN SPRING 2016 C. NGUYEN PROBLEM SET #4

Design of a hydrostatic symmetric-pad bearing with the membrane-type restrictor

Video Course on Tribology Prof. Dr Harish Hirani Department of Mechanical Engineering Indian institute of Technology, Delhi

HYDRODYNAMIC ANALYSIS OF A FIXED INCLINE SLIDER BEARING

PRESSURE DISTRIBUTION AND FRICTION COEFFICIENT OF HYDRODYNAMIC JOURNAL BEARING

Measuring and Analyzing the Moment of Friction in Double-Row Ball Bearings Robert Korbut 1,a, Jerzy Nachimowicz 1,b

SURFACE TOPOGRAPHY OF OPERATED SLIDE JOURNAL MICRO-BEARINGS USED IN COMPUTER FANS

Transition from Boundary Lubrication to Hydrodynamic Lubrication of Slider Bearings

EFFECT OF STRAIN HARDENING ON ELASTIC-PLASTIC CONTACT BEHAVIOUR OF A SPHERE AGAINST A RIGID FLAT A FINITE ELEMENT STUDY

Fluid Mechanics Testbank By David Admiraal

Modeling Contact between Rigid Sphere and Elastic Layer Bonded to Rigid Substrate

Wet and Dry Etching. Theory

Sinan Müftü Associate Professor Department of Mechanical Engineering Northeastern University, 334 SN Boston, MA

Fabrication Technology, Part I

Transcription:

Mechanics of Contact and Lubrication, MTM G230 Department of Mechanical & Industrial Enineering Northeastern University Spring 2006 Chemical Mechanical Planarization George Calota Northeastern University 360 Huntington Ave. Boston, MA 02132 LakeLA4@yahoo.com Abstract As feature size continues to decrease in microelectronics and integrated circuits, there is a greater need for global surface flatness of the various layers that make up these devices. CMP is the process used the most to achieve good results when planar surfaces are required. However, to improve the performance and efficiency of this process, there is a need for better understanding of the physics, chemistry and mechanical phenomena at the point of contact between the constituents involved in the polishing process. This study lists several models that attempt to predict CMP performance and comments on their features. A good model of CMP would have to be complex, and since most current models make numerous improbable assumptions, the results they predict do not closely match results obtained from experimental tests. The conclusion is that there is still need for better understanding of CMP in order to improve the process.

1. Introduction Chemical Mechanical Planarization or Polishing is a material removal process used to remove manufacturing or machining imperfections. It is often used to make a surface as planar or uniform as possible for aesthetic or performance reasons. One area where CMP is currently used is the semiconductor industry. Integrated circuits are constantly experiencing improvements in their performance/size ratio and CMP is a process which limits these improvements. While the name CMP is fairly new, the process itself has been in use for a long time. Glass polishing is a similar process with the same goals except that it has different materials involved. However, even though this technique has been around for a long time, a good understanding of the basic underlying mechanisms is still missing. This is proven by the fact that models proposed by the literature have not been confirmed by prediction capabilities or satisfactory mechanisms studies. Therefore, most knowledge in this field is from empirical data. 2. CMP Fundamentals 2.1 Background CMP was initially used to fabricate high performance metal structures made up of multiple layers. It is necessary because if each layer is as flat as possible, the imperfections created by the topography of previous layers are minimized and therefore the number of layers in the structure and its performance are maximized. IBM is credited with the initial development of CMP for the semiconductor industry. They used it in fabricating silicon wafers and had experimental expertise in machines, pads and slurries. Most of the scientific understanding was derived on that of glass polishing which is not itself a quantitative theory. The main equation that has been generally accepted as governing the CMP process is called Preston s Law: where RR removal rate of material K p a constant, Preston s coefficient P local pressure on wafer surface RR = K p * P * V V relative velocity of the point on the surface of wafer vs. the pad. 2

This equation states that the rate at which material can be removed is directly proportional to the pressure applied to the wafer and the relative velocity between the wafer and the pad. Preston s coefficient has to be determined experimentally and differs for different parameters such as pad material, slurry components or temperature. This equation provides a good approximation to the data obtained in practice, especially for silicon dioxide CMP. 2.2 Bearing problem The bearing problem is applied to CMP because the slurry behaves as a lubricant. The slurry, even though it might contain small particle and highly reactive chemicals, is a fluid with low Reynolds number flowing in a gap with small clearance to length ratio. Therefore the pressure generation between the wafer and the pad cannot be ignored and it has significant effect on contact between the pad and the wafer. To model the pressure distribution, a 2-D averaged Reynolds equation has to be used. This equation predicts the pressure if the speed, clearance and fluid properties are known. If the slurry is considered incompressible, this equation takes the form d/dx(h 3 dp/dx)+d/dy(h 3 dp/dy) = 6µd/dx[h(u a +u b )]+ 6µd/dy[h(v a +v b )]-u a dh/dx-v a dh/dy+w a -w b This equation is complex and most available models either solve the one dimensional version or use a finite element method to solve it. The height of the slurry film is difficult to predict since the pad is somewhat soft and it could deform so that it is no longer a plane. Also another source of confusion comes in when the height has to be defined. Some models define it as the distance between the wafer and the asperities while others define it as the distance between the plane of the wafer and a horizontal plane at the average height value of the pad. 2.3 Contact problem Contact between the pad and the wafer occurs at two different scales- a global scale where the wafer carrier indents the pad as a punch indents an elastic half-space and a local scale where individual asperities contact the wafer due to load being higher then the fluid pressure can support. 3

equation Contact pressure distribution for indentation by a rigid, flat-ended punch is given by the p(x) = P/[aπ(1-(x/a) 2 ) 1/2 ] This formula is for a two dimensional infinitely long punch and the wafer is a three dimensional circular contact problem. However it is worth noticing that this formula predicts infinite pressure at the ends of the punch and experimental data shows very high wear at the edge of the wafer after CMP. Unfortunately most models do not incorporate a global contact pressure prediction. The only contact taken into account is the statistical based Greenwood and Williamson model to describe the contact of a rough surface with a smooth plane. According to this theory, the nominal load and area of contact are a function of the distance between the flat surface and the average of the rough surface and are given by the equations P nominal = 4/3E * R 1/2 N d p(z)(z-d) 3/2 dz A contact = πrn d p(z)(z-d)dz This translates into a constant mean pressure of contact. These equations have been derived after several assumptions have been made, however. One assumption is that all the asperities in the pad are shaped as spheres and the contact creates elastic deformations only. Another assumption is that the wafer starts the polishing process completely flat. If this last assumption was true, the purpose of CMP would be defeated. Another contact component comes from the particles in the slurry. These are usually abrasive particles (usually nano sized) and they remove material either by sliding or rolling across the surface or the wafer. However research in this field is still state-of-the-art and very little is known about what parameters affect removal rates. 2.4 Diffusion problem The last important mechanism of CMP is a chemical effect. The slurry used in CMP is selected so it reacts with the wafer being polished. This oxidizes or etches the surface changing its mechanical properties and facilitating material removal. The governing equation for the mass 4

transfer of the chemical from the slurry into the surface of the wafer would be the diffusion equation listed below. dc/dt = c d 2 C/dz 2 In this equation C would be the concentration of the chemical and would be different for different locations on the wafer. The mass diffusion constant has to be measured experimentally and is a function of slurry components and wafer material. Ideally concentration C would be the same for all locations in the wafer-pad interface in order to achieve uniform etching and mechanical properties of the wafer. However, uniform C is hard to achieve with a limited slurry flow. Therefore, due to this effect, material removal will be higher upstream than downstream in the slurry flow field. 3 Current issues in CMP 3.1 Recent state-of-the-art advances Several recent studies have concentrated on these several different aspects of CMP. Some are just experimental tests to try to further match the known governing equations to actual data. There are also several models and simulations that have been build using one or more of the above theories in order to predict the end result of CMP. One of the areas discussed in the literature is the actual pressure distribution on the surface of the wafer. Guanghui develops a relationship between the wafer surface pressure and wafer backside loading. This is an analytical solution to the contact between a plate and a elastic half-space. His model shows that under uniform pressure on the wafer backside, there still will be edge effect due to the pressure variation at wafer-pad interface. Sorooshian develops a relationship between the pattern density of the wafer and the effective pressure for an applied wafer pressure. This problem is similar to the Greenwood and Williamson contact problem. If the number of asperities is changed, the probability density function of the peaks changes and the mean pressure changes. Material removal rate is also a function of polishing pad topography. Changxue considered material removal associated with a single asperity. This allows for a clearer identification of statistical issues. It also allows incorporation of more realistic assumptions concerning the pad such as asperities with random tip radii and asperities that have been blunted in the CMP process. He also discovered that initial asperity height pdf can have a significant 5

effect on predicted MRR. Castillo-Mejia also found that the mechanical behavior of the asperity layer deviates significantly from that expected based on the properties of the individual asperities or the properties of the bulk of the pad. Another determinant of MRR in CMP is the slurry and the particles it contains. Wonseop finds that friction force between the wafer and the pad is a function of down load, particle size and particle loading. An increase in down load or particle loading leads to an increase in number of particles in contact, much like the number of asperities in the GW theory. This study also finds that for constant particle loading, a decrease in size of particles results in increase in total contact area of particles and results in higher friction force. It also confidently states that results are in agreement with physical observations, despite the fact that it contradicts itself. Tamboli s study on particles compares obtained experimental data against four established models for CMP. None of the models could match the data satisfactorily. There are currently several models that simulate CMP. Thagella models tribological issues and removal rate and finds experimental results well correlated with the predicted values of the model. The model is not described in detail as it is borrowed from one of the references. Kuide proposes a model incorporating both the chemical and mechanical effects. The hydrodynamic pressure of the lubricant is considered negligible, the chemical effects are included in a surface layer thickness on the wafer and a GW method is considered for mechanical contact. Particles and the removal rate per particle is calculated by comparing the surface layer thickness to the penetration depth. However the number of particles in contact is assumed to be the density of particles times the volume extruded by the real area of contact and the diameter of the particles. The conclusion states that the present model appears to be capable of explaining many experimental observations. Saxena s model also incorporates chemical and mechanical effects and ignores slurry pressure but takes a different approach. It assumes the pad to be a soft planar surface and the wafer to have imperfections. The pad is deflected by the wafer surface and the pressure is calculated by the one dimensional elastic half space relation. The material removal model is based on surface kinetics on the wafer feature surface. This model claims results consistent with experiments and also with results reported in the literature. One last model is proposed by Xiaoquing. This model does not take into account the chemical effects of CMP. The mechanical deformation is governed by the Winkler foundation model (similar to a punch in an elastic half space). The model also incorporates the Reynolds 6

equation for slurry pressure. The results reproduce a domination of the fluid pressure observed in experiments. This model doesn t incorporate any material removal mechanisms but it notes that hydrodynamic pressure deforms the pad and changes the lubrication boundary. A analysis by Seok on elastic layers coupled to viscous fluids also finds pad deformation when pressure is present. 3.2 Problems with process The main problem in CMP is poor control over the process variables with narrow process latitude. For example, it is hard to design machinery with uniform etchant concentration under the wafer. Another problem are defects from CMP that affect die yield such as dishing, erosion, and edge effect. So a perfect flat surface is not obtained even after the CMP process. Therefore, CMP requires process development for process control and metrology. Also another drawback is the cost of CMP. Machinery is expensive to operate due to high equipment and consumables costs. Pads, slurry and machine parts have low life numbers and cannot be reused. CMP is not currently a very efficient process. 4. Alternate procedures There are several alternative techniques to CMP. Spin on deposition (SOD) is a technique where different glasses are applied to the surface of the wafer in order to fill in gaps and planarize it. It is called the spin on technique because the wafer is spinning when the glass is applied so the centrifugal force spreads the liquid glass evenly. Etch back is an extra step that can be added to the SOD process in order to further reduce surface topography. While the SOD fills in gaps and trenches, the EB process tends to remove peaks and high regions on the wafer using chemical interaction. This etch back process has led to a completely new process called spin etch planarization (SEP). In this process the wafer is held on a spinning chuck by a nitrogen cushion. As the wafer is spun, etch solutions are dispensed on the wafer. A planar surface is achieved by using the right solutions. Also because there is no external contact, there is no possibility of defects such as scratches. Also dielectric dishing, erosion and non-uniformities are kept very low. However, CMP is still the leading process used for polishing of semiconductor devices. It offers excellent local and global planarity on the surface of the wafer. The SOD process only offers good local planarity even when combined with EB. Also the glasses applied have limited 7

ability of filling in the gaps especially under 300 nm range. The SEP process is fairly new and it is more costly than CMP. It is also limited to the materials it can be applied to and it is not applicable to multimaterial surfaces. Also, unlike all other processes, CMP doesn t rely on any hazardous gases common in etching processes. 5. Conclusions CMP is a complex problem not very well understood. There are few governing equations all derived from experimental data. More precise/accurate equations derived analytically are needed for a better controlled CMP process with better outputs. Most existing models are incomplete and do not illustrate data obtained experimentally. Other alternate processes are not as effective as CMP as they are neither cost-effective nor good at providing a global planar surface. 8

References [1] Oliver, M. R., 2004, Chemical Mechanical Planarization of Semiconductor Materials, Springer, Germany. [2] Zantye, P. B., 2004, Chemical Mechanical Planarization for microelectronics applications, Material Science and Engineering R: Reports, 45:3-6. [3] Castillo-Mejia, D., 2004, Polishing Pad Surface Morphology and Chemical Mechanical Planarization, Journal of the Electrochemical Society, 151:G271-78. [4] Sorooshian, J., 2004, Estimating the Effective pressure on Patterned Wafers during STI CMP, Electrochemical and Solid-State Letters, 7:G204-06. [5] Choi, W., 2004, Estimation of Fractional Surface Coverage of Particles for Oxide CMP, Journal of the Electrochemical Society, 151:G368-72. [6] Tamboli, D., 2004, Novel Interpretations of CMP Removal Rate Dependencies on Slurry Particle Size and Concentration, Electrochemical and Solid-State Letters, 7:F62-65. [7] Wang, C., 2005, A Stochastic Model for the Effects of Pad Surface Topography Evolution on Material Removal Rate Decay in Chemical-Mechanical Planarization, IEEE Transactions on Semiconductor Manufacturing, 18:695-708. [8] Seok, J., 2004, Two-Dimensional Analysis of an Elastic Layer Coupled to a Viscous Fluid, Journal of Tribology, 71:162-67. [9] Thagella, S., 2004, Tribological Issues and Modeling of Removal Rate of Low-k Films in CMP,, Journal of the Electrochemical Society, 151:G205-15. [10] Jin, X., 2005, A 3-D EHL Simulation of CMP, Journal of the Electrochemical Society, 152:G7-15. [11] Saxena, R., 2004, A Feature Scale Model for chemical mechanical planarization of damascene structures, Thin Solid Films, 449:192-206. [12] Qin, K., 2004, A chemical mechanical polishing model incorporating both the chemical and mechanical effects, Thin Solid Films, 446:277-86. [13] Fu, G., 2005, The relationship between wafer surface pressure and wafer backside loading in Chemical Mechanical Polishing, Thin Solid Films, 474:217-21. 9