Chapter 8 Ion Implantation

Similar documents
Chapter 8 Ion Implantation

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages:

Ion Implant Part 1. Saroj Kumar Patra, TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU )

Ion Implantation ECE723

Chapter 3 Basics Semiconductor Devices and Processing

Secondary ion mass spectrometry (SIMS)

Chapter 9 Ion Implantation

Chapter 7 Plasma Basic

Processing of Semiconducting Materials Prof. Pallab Banerji Department of Metallurgy and Material Science Indian Institute of Technology, Kharagpur

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

Chapter 7. Plasma Basics

Device Fabrication: Etch

Changing the Dopant Concentration. Diffusion Doping Ion Implantation

IC Fabrication Technology

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

Lecture 0: Introduction

Xing Sheng, 微纳光电子材料与器件工艺原理. Doping 掺杂. Xing Sheng 盛兴. Department of Electronic Engineering Tsinghua University

Gaetano L Episcopo. Scanning Electron Microscopy Focus Ion Beam and. Pulsed Plasma Deposition

CVD: General considerations.

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

Lecture 5. Ion Implantation. Reading: Chapter 5

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

Ion implantation Campbell, Chapter 5

EE-612: Lecture 22: CMOS Process Steps

ETCHING Chapter 10. Mask. Photoresist

Fabrication Technology, Part I

MOSFET: Introduction

EE 212 FALL ION IMPLANTATION - Chapter 8 Basic Concepts

Introduction to Photolithography

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition

CHAPTER 6: Etching. Chapter 6 1

Chapter 9, Etch. Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey.

Auger Electron Spectroscopy (AES)

Lecture 12 Ion Implantation

Lecture 8. Detectors for Ionizing Particles

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

Ajay Kumar Gautam Asst. Prof. Electronics & Communication Engineering Dev Bhoomi Institute of Technology & Engineering Dehradun UNIT II

Etching: Basic Terminology

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Semiconductor Detectors

Regents of the University of California

EE143 LAB. Professor N Cheung, U.C. Berkeley

Wet and Dry Etching. Theory

Plasma Deposition (Overview) Lecture 1

Evaluation of plasma strip induced substrate damage Keping Han 1, S. Luo 1, O. Escorcia 1, Carlo Waldfried 1 and Ivan Berry 1, a

Fast Monte-Carlo Simulation of Ion Implantation. Binary Collision Approximation Implementation within ATHENA

ION IMPLANTATION - Chapter 8 Basic Concepts

Chapter 3 Engineering Science for Microsystems Design and Fabrication

Energetic particles and their detection in situ (particle detectors) Part II. George Gloeckler

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

Introduction to Plasma

Secondary Ion Mass Spectroscopy (SIMS)

Film Deposition Part 1

EE C245 ME C218 Introduction to MEMS Design Fall 2007

MS482 Materials Characterization ( 재료분석 ) Lecture Note 5: RBS

Section 12: Intro to Devices

Asymmetrical heating behavior of doped Si channels in bulk silicon and in silicon-on-insulator under high current stress

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

Lecture 22 Ion Beam Techniques

LECTURE 5 SUMMARY OF KEY IDEAS

Steam-Injected SPM Process for All-Wet Stripping of Implanted Photoresist

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Chapter 2. Design and Fabrication of VLSI Devices

MS482 Materials Characterization ( 재료분석 ) Lecture Note 5: RBS. Byungha Shin Dept. of MSE, KAIST

EE 5211 Analog Integrated Circuit Design. Hua Tang Fall 2012

Make sure the exam paper has 9 pages (including cover page) + 3 pages of data for reference

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Huashun Zhang. Ion Sources. With 187 Figures and 26 Tables Э SCIENCE PRESS. Springer

Robert A. Meger Richard F. Fernster Martin Lampe W. M. Manheimer NOTICE

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

MICROCHIP MANUFACTURING by S. Wolf

Reactive Ion Etching (RIE)

Manufacturable AlGaAs/GaAs HBT Implant Isolation Process Using Doubly Charged Helium

Lecture 6. Rapid Thermal Processing. Reading: Chapter 6

Dynamization evolution of Dry Etch Tools in Semiconductor Device Fabrication Gordon Cameron Intel Corp (November 2005)

A. Burenkov, P. Pichler, J. Lorenz, Y. Spiegel, J. Duchaine, F. Torregrosa

EE C245 ME C218 Introduction to MEMS Design Fall 2007

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory

Thin Film Transistors (TFT)

DIFFUSION - Chapter 7

nmos IC Design Report Module: EEE 112

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications

Section 12: Intro to Devices

Secondary Ion Mass Spectrometry (SIMS) Thomas Sky

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

LECTURE 3 MOSFETS II. MOS SCALING What is Scaling?

Modelling for Formation of Source/Drain Region by Ion Implantation and Diffusion Process for MOSFET Device

A semiconductor is an almost insulating material, in which by contamination (doping) positive or negative charge carriers can be introduced.

EE410 vs. Advanced CMOS Structures

PHI 5000 Versaprobe-II Focus X-ray Photo-electron Spectroscopy

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems

The Devices: MOS Transistors

Semiconductor-Detectors

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

Transcription:

Chapter 8 Ion Implantation 2006/5/23 1 Wafer Process Flow Materials IC Fab Metalization CMP Dielectric deposition Test Wafers Masks Thermal Processes Implant PR strip Etch PR strip Packaging Photolithography Final Test Design 2006/5/23 2 1

Introduction Semiconductor doping in wells and S/D Two major ways to dope Diffusion Ion implantation Other application of ion implantation 2006/5/23 3 Semiconductor Doping: Diffusion An isotropic process Can t independently control dopant profile and dopant concentration Replaced by ion implantation after its introduction in mid-1970s. 2006/5/23 4 2

Performed in high temperature furnace Using silicon dioxide as hard mask Still used for dopant drive-in, low-end product applications Current R&D on ultra shallow junction formation. 2006/5/23 5 Dopant Oxide Deposition (or Pre-deposition) Deposited Dopant Oxide SiO 2 Si Substrate 2006/5/23 6 3

Oxidation SiO 2 Si Substrate 2006/5/23 7 Drive-in SiO 2 Doped junction Si Substrate 2006/5/23 8 4

Strip and Clean SiO 2 Si Substrate Doped junction 2006/5/23 9 Semiconductor Doping : Ion Implantation First used for atomic and nuclear research Early idea introduced in 1950 s Introduced to semiconductor manufacturing in mid-1970s. Independently control dopant profile (ion energy) and dopant concentration (ion current times implantation time) 2006/5/23 10 5

Anisotropic dopant profile Easy to achieve high concentration dope of heavy dopant atom such as phosphorus and arsenic. 2006/5/23 11 Misalignment of the Gate Metal Gate Gate Oxide Metal Gate n-si p + S/D n-si p + S/D Aligned Misaligned 2006/5/23 12 6

Ion Implantation, Phosphorus SiO 2 Poly Si P + n + n + P-type Silicon 2006/5/23 13 Comparison of Implantation and Diffusion Doped region SiO 2 PR Si Si Diffusion Junction depth Ion implantation 2006/5/23 14 7

Comparison of Implantation and Diffusion Diffusion Unable to achieve high dopant concentrations High temperature, hard mask Isotropic dopant profile Cannot independently control of the dopant concentration and junction depth Batch process Ion Implantation Wide range of dopant dose (10 11 ~10 17 atoms/cm 2 ) Low temperature, photoresist mask Anisotropic dopant profile Can independently control of the dopant concentration and junction depth Both Batch and single wafer process 2006/5/23 15 Ion Implantation Control Beam current and implantation time control dopant concentration Ion energy controls junction depth Dopant profile is anisotropic 2006/5/23 16 8

Other Applications Oxygen implantation for silicon-oninsulator (SOI) device Pre-amorphous silicon implantation on titanium film for better annealing Pre-amorphous germanium implantation on silicon substrate for profile control Polysilicon doping poly barrier formation. Silicide on top of polysilicon shorts p-n junction of the silicon and forms local interconnection 2006/5/23 17 Stopping Mechanism Ions penetrate into substrate Collide with lattice atoms Gradually lose their energy and stop Ion Random Collisions (S=S n +S e ) Channeling (S S e ) Back Scattering (S S n ) 2006/5/23 18 9

Two Stopping Mechanism Nuclear stopping Collision with nuclei of the lattice atoms Scattered significantly Causes crystal structure damage. electronic stopping Collision with electrons of the lattice atoms Incident ion path is almost unchanged Energy transfer is very small Crystal structure damage is negligible 2006/5/23 19 Stopping Mechanism The total stopping power S total = S n + S e S n : nuclear stopping, S e : electronic stopping Low E, high A ion implantation: mainly nuclear stopping High E, low A ion implantation, electronic stopping mechanism is more important 2006/5/23 20 10

Stopping Power and Ion Velocity I II III Stopping Power Nuclear Stopping Electronic Stopping Ion Velocity 2006/5/23 21 Ion Trajectory and Projected Range Vacuum Ion Beam Substrate Ion Trajectory Collision Projected Range Distance to the Surface 2006/5/23 22 11

Ion Projection Range ln (Concentration) Projected Range Substrate Surface Depth from the Surface 2006/5/23 23 1.000 Projected Range in Silicon Projected Range ( m) 0.100 B As P Sb 0.010 10 100 1000 Implantation Energy (kev) 2006/5/23 24 12

Barrier Thickness to Block 200 kev Ion Beam 1.20 Mask Thickness (micron) 1.00 0.80 0.60 0.40 0.20 0.00 B P As Sb Si SiO 2 Si 3 N 4 Al PR 2006/5/23 25 Implantation Processes: Channeling If the incident angle is right, ion can travel long distance without collision with lattice atoms It causes uncontrollable dopant profile, a tail Lots of collisions Very few collisions 2006/5/23 26 13

Channeling Effect Lattice Atoms Channeling Ion Collisional Ion Wafer Surface 2006/5/23 27 Post-collision Channeling Collisional Channeling Collisional Wafer Surface 2006/5/23 28 14

Post-collision Channeling Collisional Channeling Collisional Dopant Concentration Distance from surface 2006/5/23 29 Implantation Processes: Channeling Ways to avoid channeling effect Tilt wafer, 7 is most commonly used Screen oxide Pre-amorphous implantation Shadowing effect Ion blocked by structures Rotate wafer and post-implantation diffusion 2006/5/23 30 15

Shadowing Effect Ion Beam Polysilicon Substrate Doped Region Shadowed Region 2006/5/23 31 Shadowing Effect After Annealing and Diffusion Polysilicon Substrate Doped Region 2006/5/23 32 16

Damage Process Implanted ions transfer energy to lattice atoms Atoms to break free Freed atoms collide with other lattice atoms Free more lattice atoms Damage continues until all freed atoms stop One energetic ion can cause thousands of displacements of lattice atoms 2006/5/23 33 Lattice Damage With One Ion Light Ion Damaged Region Heavy Ion Single Crystal Silicon 2006/5/23 34 17

Implantation Processes: Damage Ion collides with lattice atoms and knock them out of lattice grid Implant area on substrate becomes amorphous structure Before Implantation After Implantation 2006/5/23 35 Implantation Processes: Anneal Dopant atom must in single crystal structure and bond with four silicon atoms to be activated as donor (N-type) or acceptor (P-type) Thermal energy from high temperature helps amorphous atoms to recover single crystal structure. 2006/5/23 36 18

Thermal Annealing Lattice Atoms Dopant Atom 2006/5/23 37 Thermal Annealing Lattice Atoms Dopant Atom 2006/5/23 38 19

Thermal Annealing Lattice Atoms Dopant Atom 2006/5/23 39 Thermal Annealing Lattice Atoms Dopant Atom 2006/5/23 40 20

Thermal Annealing Lattice Atoms Dopant Atom 2006/5/23 41 Thermal Annealing Lattice Atoms Dopant Atom 2006/5/23 42 21

Thermal Annealing Lattice Atoms Dopant Atom 2006/5/23 43 Thermal Annealing Lattice Atoms Dopant Atoms 2006/5/23 44 22

Implantation Processes: Annealing Before Annealing After Annealing 2006/5/23 45 Rapid Thermal Annealing (RTA) At high temperature, annealing out pace diffusion Rapid thermal process (RTP) is widely used for post-implantation anneal RTA is fast (less than a minute), better WTW uniformity, better thermal budget control, and minimized the dopant diffusion 2006/5/23 46 23

RTP and Furnace Annealing Poly Si Gate Gate SiO 2 Poly Si Si Source/Drain Si RTP Annealing Furnace Annealing 2006/5/23 47 Ion Implantation: Hardware Gas system Electrical system Vacuum system Ion beamline 2006/5/23 48 24

Ion Implanter Gas Cabin Electrical System Analyzer Magnet Ion Source Vacuum Pump Beam Line Electrical System Plasma Flooding System Vacuum Pump Wafers End Analyzer 2006/5/23 49 Ion Implantation: Beamline Ion source Extraction electrode Analyzer magnet Post acceleration Plasma flooding system End analyzer 2006/5/23 50 25

Ion Beam Line Suppression Electrode Analyzer Magnet Ion Source Vacuum Pump Beam Line Extraction Electrode Post Acceleration Electrode Plasma Flooding System Vacuum Pump Wafers End Analyzer 2006/5/23 51 Ion implanter: Ion Source Hot tungsten filament emits thermal electron Electrons collide with source gas molecules to dissociate and ionize Ions are extracted out of source chamber and accelerated to the beamline RF and microwave power can also be used to ionize source gas 2006/5/23 52 26

Ion Source Filament Power, 0-5V, up to 200A Arc Power ~ 120 V - + Source Gas or Vapor Tungsten Filament Plasma Magnetic Field Line Anti-cathode Source Magnet 2006/5/23 53 RF Ion Source Dopant Gas + - RF Plasma RF Coils Ion Beam Extraction Electrode 2006/5/23 54 27

Microwave Ion Source Microwave Magnetic Coils ECR Plasma Magnetic Field Line Extraction Electrode 2006/5/23 55 Ion Implantation: Extraction Extraction electrode accelerates ions up to 50 kev High energy is required for analyzer magnet to select right ion species. 2006/5/23 56 28

Extraction Assembly Suppression Electrode Ion Source Plasma Extraction Electrode Top View Ion Beam + Extraction Power, up to 60 kv Suppression Power, up to 10 kv + Terminal Chassis Slit Extracting Ion Beam 2006/5/23 57 Ion Implantation: Analyzer Magnet Gyro radius of charge particle in magnetic field relate with B-field and mass/charge ratio Used for isotope separation to get enriched U 235 Only ions with right mass/charge ratio can go through the slit Purified the implanting ion beam 2006/5/23 58 29

Analyzer Magnetic Field (Point Outward) Ion Beam Larger m/q Ratio Flight Tube Smaller m/q Ratio Right m/q Ratio 2006/5/23 59 Ions in BF 3 Plasma Ions Atomic or molecule weight 10 B 10 11 B 11 10 BF 29 11 BF 30 F 2 38 10 BF 2 48 11 BF 2 49 2006/5/23 60 30

Ion Implantation: Post Acceleration Increasing (sometimes decreasing) ion energy for ion to reach the required junction depth determined by the device Electrodes with high DC voltage Adjustable vertical vanes control beam current 2006/5/23 61 Ion Implantation: Plasma Flooding System Ions cause wafer charging Wafer charging can cause non-uniform doping and arcing defects Elections are flooding into ion beam and neutralized the charge on the wafer Argon plasma generated by thermal electrons emit from hot tungsten filament 2006/5/23 62 31

Post Acceleration Suppression Electrode Acceleration Electrode Ion Beam Terminal Chassis Suppression Power, up to 10 kv + Post Accel. Power, up to 60 kv + 2006/5/23 63 Ion Beam Current Control Fixed Defining Aperture Ion Beam Adjustable Vertical Vanes 2006/5/23 64 32

Bending Ion Trajectory Neutral Atom Trajectory Bias Electrode Ion Trajectory Wafer 2006/5/23 65 Charge Neutralization System Implanted ions charge wafer positively Cause wafer charging effect Expel positive ion, cause beam blowup and result non-uniform dopant distribution Discharge arcing create defects on wafer Breakdown gate oxide, low yield Need eliminate or minimize charging effect 2006/5/23 66 33

Charging Effect Ions trajectory Wafer + + + + 2006/5/23 67 Charge Neutralization System Need to provide electrons to neutralize ions Plasma flooding system Electron gun Electron shower are used to 2006/5/23 68 34

Plasma Flooding System Filament Current DC Power + Tungsten Filament Plasma Ar Ion Beam Electrons Wafer 2006/5/23 69 Electron Gun Secondary Electron Target Electrons Secondary Electrons Ion Beam Electron Gun Thermal Filament Wafer 2006/5/23 70 35

Beam Stop Graphite Top View Ion Beam Magnets Water Cooled Base Plate Faraday Current Detectors 2006/5/23 71 Ion Implantation: The Process CMOS applications CMOS ion implantation requirements Implantation process evaluations 2006/5/23 72 36

CMOS Implantation Requirements Implant Step 0.35 m, 64 Mb 0.25 m, 256 Mb 0.18 m, 1 Gb N-well Well P/600/2 10 13 P/400/2 10 13 P/300/1 10 13 Anti-punch through P/100/5 10 13 As/100/5 10 12 As/50/2 10 12 Threshold B/10/7 10 12 B/5/3 10 12 B/2/4 10 12 Poly dope P/30/2 10 15 B/20/2 10 15 B/20/3 10 15 Poly diffusion block - - N 2 /20/3 10 15 Lightly doped drain (LDD) B/7/5 10 13 B/5/1 10 14 B/2/8 10 13 Halo (45 implant) - - As/30/5 10 13 Source/drain contact B/10/2 10 15 B/7/2 10 15 B/6/2 10 15 P-well Well B/225/3 10 13 B/200/1 10 13 B/175/1 10 13 Anti-punch through B/30/2 10 13 B/50/5 10 12 B/45/5 10 12 Threshold B/10/7 10 12 B/5/3 10 12 B/2/4 10 12 Poly dope P/30/5 10 15 P/20/2 10 15 As/40/3 10 15 Poly diffusion block - - N 2 /20/3 10 15 Lightly doped drain (LDD) P/20/5 10 13 P/12/5 10 13 P/5/3 10 13 Halo (45 implant) B/30/3 10 12 B/20/3 10 12 B/7/2 10 13 Source/drain contact As/30/3 10 15 As/20/3 10 15 As/15/3 10 15 2006/5/23 73 Implantation Process: Well Implantation High energy (to MeV), low current (10 13 /cm 2 ) P + Photoresist N-Well P-Epi P-Wafer 2006/5/23 74 37

Implantation Process: V T Adjust Implantation Low Energy, Low Current STI Photoresist USG P-Well P-Epi P-Wafer B + N-Well 2006/5/23 75 Lightly Doped Drain (LDD) Implantation Low energy (10 kev), low current (10 13 /cm 2 ) P + STI Photoresist USG P-Well N-Well P-Epi P-Wafer 2006/5/23 76 38

Implantation Process: S/D Implantation Low energy (20 kev), high current (>10 15 /cm 2 ) P + Photoresist STI n + n + P-Well USG P-Epi P-Wafer N-Well 2006/5/23 77 Ion Implantation Processes Ion Implantation Energy Current Well High energy low current Source/Drain Low energy high current V T Adjust Low energy low current LDD Low energy low current 2006/5/23 78 39

Process Issues Wafer charging Particle contamination Elemental contamination Process evaluation 2006/5/23 79 Wafer Charging Break down gate oxide Dielectric strength of SiO 2 : ~10 MV/cm 100 Å oxide breakdown voltage is 10 V Gate oxide: 30 to 35 Å for 0.18 m device Require better charge neutralization 2006/5/23 80 40

Wafer Charging Monitoring Antenna capacitor changing test structure The ratio of polysilicon pad area and thin oxide area is called antenna ratio Can be as high as 100,000:1 The larger antenna ratio, the easier to breakdown the thin gate oxide 2006/5/23 81 Antenna Ratio Top View Side View Polysilicon Field Oxide Silicon Substrate Gate Oxide 2006/5/23 82 41

Particle Contamination Large particles can block the ion beam especially for the low energy processes, V T adjust, LDD and S/D implantations, Cause incomplete dopant junction. Harmful to yield 2006/5/23 83 Effect of Particle Contamination Ion Beam Dopant in PR Particle Photoresist Screen Oxide Partially Implanted Junctions 2006/5/23 84 42

Elemental Contamination Co-implantation other elements with intended dopant 94 Mo ++ and 11 BF 2 +, same mass/charge ratio (A/e = 49) Mass analyzer can t separate these two 94 Mo ++ causes heavy metal contamination Ion source can t use standard stainless steel Other materials such as graphite and tantalum are normally used 2006/5/23 85 Process Evaluation Four-point probe Thermal wave Optical measurement system (OMS) 2006/5/23 86 43

Thermal Wave System Argon pump laser generates thermal pulses on wafer surface He-Ne probe laser measures DC reflectivity (R) and reflectivity modulation induced by the pump laser ( R) at the same spot Ratio R/R is called thermal wave (TW) signal, TW signal R/R related to the crystal damage crystal damage is a function of the implant dose 2006/5/23 87 Thermal Wave System I R R Thermal Waver Signal Detector Pump Laser t I Probe Laser t R/R: Thermal Wave Signal Wafer 2006/5/23 88 44

Thermal Wave System Performed immediately after the implant process Four-point probe needs anneal first Non-destructive, can measure production wafers Four-point probe is only good for test wafers Low sensitivity at low dosage Drift of the TW signal over time needs to be taken as soon as the implantation finished Don t have very high measurement accuracy Laser heating relax crystal damage 2006/5/23 89 Ion Implantation: Safety One of most hazardous process tools in semiconductor industry Chemical Electro-magnetic Mechanical 2006/5/23 90 45

Ion Implantation: Chemical Safety Most dopant materials are highly toxic, flammable and explosive. Poisonous and explosive: AsH 3, PH 3, B 2 H 6 Corrosive: BF 3 Toxic: P, B, As, Sb Common sense: get out first, let the trained people to do the investigation. 2006/5/23 91 Ion Implantation: Electro-magnetic Safety High voltage: from facility 208 V to acceleration electrode up to 50 kv. Ground strip, Work with buddy! Lock & tag Magnetic field: pacemaker, etc. 2006/5/23 92 46

Ion Implantation: Radiation Safety High energy ions cause strong X-ray radiation Normally well shield 2006/5/23 93 Ion Implantation: Corrosive by-products BF 3 as dopant gas Fluorine will react with hydrogen to from HF Anything in the beamline could have HF Double glove needed while wet clean those parts 2006/5/23 94 47

Ion Implantation: Mechanical Safety Moving parts, doors, valves and robots Spin wheel Hot surface 2006/5/23 95 Technology Trends Ultra shallow junction (USJ) Silicon on insulator (SOI) Plasma immersion ion implantation (PIII) 2006/5/23 96 48

Ultra Shallow Junction (USJ) USJ (x j 0.05 m) for sub-0.1 m devices p-type junction, boron ion beam at extremely low energy, as low as 0.2 kev The requirements for the USJ Shallow Low sheet resistance Low contact resistance Minimal impact on channel profile Compatible with polysilicon gate 2006/5/23 97 CMOS on SOI Substrate n + source/drain Gate oxide Polysilicon p + source/drain p-si STI Buried oxide Balk Si n-si USG 2006/5/23 98 49

SOI Formation Implanted wafers Heavy oxygen ion implantation High temperature annealing Bonded wafers Two wafers Grow oxide on one wafer High temperature bond wafer bonding Polish one wafer until thousand Å away from SiO 2 2006/5/23 99 Oxygen Ion Implantation Silicon with lattice damage Oxygen rich silicon Balk Si 2006/5/23 100 50

High Temperature Annealing Single crystal silicon Silicon dioxide Balk Si 2006/5/23 101 Plasma Immersion Ion Implantation Deep trench capacitor for DRAM Deeper and narrower Very difficult to heavily dope both sidewall and bottom by ion implantation Plasma immersion ion implantation (PIII) An ion implantation process without precise ion species and ion energy selection 2006/5/23 102 51

Deep Trench Capacitor Polysilicon Heavily doped Si Dielectric Layer Silicon Substrate 2006/5/23 103 ECR Plasma Immersion System Microwave Magnet Coils Magnetic field line E-chuck Helium Bias RF ECR plasma Wafer 2006/5/23 104 52

Summary of Ion Implantation Dope semiconductor Better doping method than diffusion Easy to control junction depth (by ion energy) and dopant concentration ( by ion current and implantation time). Anisotropic dopant profile. 2006/5/23 105 Summary of Ion Implantation Ion source Extraction Analyzer magnets Post acceleration Charge neutralization system Beam stop 2006/5/23 106 53

Summary of Ion Implantation Well Source/Drain Vt Adjust LDD High energy, low current Low energy, high current Low energy, low current Low energy, low current 2006/5/23 107 54