Negative Bias Temperature Instability (NBTI) Physics, Materials, Process, and Circuit Issues. Dieter K. Schroder Arizona State University Tempe, AZ

Similar documents
Negative bias temperature instability: Road to cross in deep submicron silicon semiconductor manufacturing

MOSFET: Introduction

MOS Transistor I-V Characteristics and Parasitics

A Computational Model of NBTI and Hot Carrier Injection Time-Exponents for MOSFET Reliability

MOS CAPACITOR AND MOSFET

Fundamentals of the Metal Oxide Semiconductor Field-Effect Transistor

MOS Transistor Theory

CMPEN 411 VLSI Digital Circuits. Lecture 03: MOS Transistor

The Devices: MOS Transistors

Recent Developments in Device Reliability Modeling: The Bias Temperature Instability. Tibor Grasser

The Devices. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

EE105 - Fall 2006 Microelectronic Devices and Circuits

Section 12: Intro to Devices

Lecture 4: CMOS Transistor Theory

Modeling of PMOS NBTI Effect Considering Temperature Variation

MOS Transistor Theory

Lecture 5: CMOS Transistor Theory

Section 12: Intro to Devices

Choice of V t and Gate Doping Type

Microelectronics Part 1: Main CMOS circuits design rules

L ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling

Nanoscale CMOS Design Issues

MOS Transistors. Prof. Krishna Saraswat. Department of Electrical Engineering Stanford University Stanford, CA

This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented.

ECE 342 Electronic Circuits. 3. MOS Transistors

ECE 546 Lecture 10 MOS Transistors

1. The MOS Transistor. Electrical Conduction in Solids

ELEN0037 Microelectronic IC Design. Prof. Dr. Michael Kraft

The Devices. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

ECE 497 JS Lecture - 12 Device Technologies

Future trends in radiation hard electronics

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. The Devices. July 30, Devices.

Integrated Circuits & Systems

Negative Bias Temperature Instability Characterization and Lifetime Evaluations of Submicron pmosfet

EE105 - Fall 2005 Microelectronic Devices and Circuits

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands

Chapter 2 Characterization Methods for BTI Degradation and Associated Gate Insulator Defects

EE 560 MOS TRANSISTOR THEORY

Final Examination EE 130 December 16, 1997 Time allotted: 180 minutes

Lecture 18 Field-Effect Transistors 3

Chapter 4 Field-Effect Transistors

Introduction to CMOS VLSI. Chapter 2: CMOS Transistor Theory. Harris, 2004 Updated by Li Chen, Outline

LECTURE 3 MOSFETS II. MOS SCALING What is Scaling?

Chapter 2 CMOS Transistor Theory. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

THE INVERTER. Inverter

Lecture 04 Review of MOSFET

CONSTANT CURRENT STRESS OF ULTRATHIN GATE DIELECTRICS

Device Models (PN Diode, MOSFET )

Semiconductor Physics Problems 2015

Extensive reading materials on reserve, including

Simulating Negative Bias Temperature Instability of p-mosfets

Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters

MODEL MECHANISM OF CMOS DEVICE FOR RELIBILITY ENHANCEMENT

Chapter 3 Basics Semiconductor Devices and Processing

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

MOSFET Capacitance Model

Today s lecture. EE141- Spring 2003 Lecture 4. Design Rules CMOS Inverter MOS Transistor Model

EECS130 Integrated Circuit Devices

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing

Introduction to Reliability Simulation with EKV Device Model

Device Models (PN Diode, MOSFET )

SECTION: Circle one: Alam Lundstrom. ECE 305 Exam 5 SOLUTIONS: Spring 2016 April 18, 2016 M. A. Alam and M.S. Lundstrom Purdue University

ECE-305: Fall 2017 MOS Capacitors and Transistors

The Devices. Devices

ECE 340 Lecture 39 : MOS Capacitor II

EEC 118 Lecture #2: MOSFET Structure and Basic Operation. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

VLSI Design The MOS Transistor

Radiation Effects in Emerging Materials Overview Leonard C. Feldman

FIELD-EFFECT TRANSISTORS

Modeling of the Substrate Current and Characterization of Traps in MOSFETs under Sub-Bandgap Photonic Excitation

COTS BTS Testing and Improved Reliability Test Methods

Fabrication Technology, Part I

! CMOS Process Enhancements. ! Semiconductor Physics. " Band gaps. " Field Effects. ! MOS Physics. " Cut-off. " Depletion.

Semiconductor Devices. C. Hu: Modern Semiconductor Devices for Integrated Circuits Chapter 5

EE 5211 Analog Integrated Circuit Design. Hua Tang Fall 2012

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa

Metal-oxide-semiconductor field effect transistors (2 lectures)

ECE Semiconductor Device and Material Characterization

! CMOS Process Enhancements. ! Semiconductor Physics. " Band gaps. " Field Effects. ! MOS Physics. " Cut-off. " Depletion.

Modeling Total Ionizing Dose Effects in Deep Submicron Bulk CMOS Technologies. Michael McLain, Hugh Barnaby, Ivan Sanchez

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

P. R. Nelson 1 ECE418 - VLSI. Midterm Exam. Solutions

TOTAL IONIZING DOSE RADIATION EFFECTS AND NEGATIVE BIAS TEMPERATURE INSTABILITY ON SiGe pmos DEVICES

23.0 Review Introduction

Lecture #27. The Short Channel Effect (SCE)

CMPEN 411 VLSI Digital Circuits. Lecture 04: CMOS Inverter (static view)

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

Lecture 3: CMOS Transistor Theory

Fig. 1 CMOS Transistor Circuits (a) Inverter Out = NOT In, (b) NOR-gate C = NOT (A or B)

Lecture 12: MOSFET Devices

Department of Electronic Engineering, Chienkuo Technology University, No. 1, Chieh Shou N. Rd., Changhua City, 500 Taiwan, R.O.C.

EE105 Fall 2014 Microelectronic Devices and Circuits. NMOS Transistor Capacitances: Saturation Region

an introduction to Semiconductor Devices

ATOMIC-SCALE THEORY OF RADIATION-INDUCED PHENOMENA

OFF-state TDDB in High-Voltage GaN MIS-HEMTs

Electronics with 2D Crystals: Scaling extender, or harbinger of new functions?

Energy position of the active near-interface traps in metal oxide semiconductor field-effect transistors on 4H SiC

EE 560 MOS TRANSISTOR THEORY PART 2. Kenneth R. Laker, University of Pennsylvania

The Devices. Jan M. Rabaey

Transcription:

Negative Bias Temperature Instability (NBTI) Physics, Materials, Process, and Circuit Issues Dieter K. Schroder Arizona State University Tempe, AZ

Introduction What is NBTI? Material Issues Device Issues Circuit Issues Effect of Hydrogen Nitrogen Water Fluorine Deuterium Boron Stress NBTI Minimization

What Is NBTI? Negative bias temperature instability occurs mainly in p-channel MOS devices Either negative gate voltages or elevated temperatures can produce NBTI, but a stronger and faster effect is produced by their combined action Oxide electric fields typically below 6 MV/cm Stress temperatures: 100-250 C Drain current, transconductance, and off current decrease 10 Absolute threshold voltage 7 increase Such fields and temperatures are typically encountered 10 6 during burn in, but are also approached in high-performance ICs during routine operation 10 5 E ox (V/cm) 1970 1980 1990 2000 Year

Parametric Impact Material Interface traps, D it Oxide charges, N ot Device Threshold voltage, V T Transconductance, g m Subthreshold slope, S Mobility, µ eff Drain current, I D,lin, I D,sat Circuit Gate-to-drain capacitance, C gd Delay time, t d

Device Lifetime Limits NBTI key reliability issue 3 T=100 o C V DD (V) 2 Hot Carrier Limit Region SIA Roadmap NBTI Limit Region 1 2 2.5 3 3.5 4 4.5 5 Oxide Thickness (nm) N. Kimizuka et al., IEEE VLSI Symp. 73 (1999)

MOSFET Performance MOSFET drain current depends on Dimensions: W, L, C ox ~ 1/t ox Mobility: µ eff Voltages: V G, V D, V T I D = ( W / 2L) µ effcox ( VG VT 2 ) Delay time: t d t d CV = I D DD = C ( W / 2L) µ effcoxvdd (1 VT / VDD 2 ) I D and t d if V T and µ eff When device parameter change exceeds a certain value failure

Earliest NBTI Generation of fixed charge and interface states with negative gate bias was observed very early during MOS development in 1967! (Q f +Q it )/q (cm -2 ) 3 10 12 2 10 12 1 10 12 0 0 10 0 T=300 o C t ox =200 nm -1 10 6-2 10 6 Electric Field (V/cm) (111) (100) (111) (100) -3 10 6 B.E. Deal et al., J. Electrochem. Soc. 114, 266 (1967)

Typical NBTI Features Interface traps, D it, and positive oxide charges, N ot, are generated at similar rates D it N ot N ot (cm -2 ) 10 10 1 1 Dit Not 0.1 0.1 10 0 10 1 10 2 10 3 10 4 Stress Time (s) D it (cm -2 ev -1 )

V T, Transconductance Charge pumping current I cp ~ interface state density D it Transconductance g m ~ effective mobility µ eff ~ D it - V T (mv); I cp (pa) 10 3 10 2 10 1 10 0 10-1 V G =-8 V I cp V T W/L = 10 µm/2 µm t ox =15 nm, T=135 o C 10 1 10 2 10 3 10 4 10 5 Stress Time (s) - V T (mv); - g m /g mo 10 2 10 1 10 0 10-1 10-2 10-3 V G =-2.3 V, T=100 o C V T g m /g mo L=0.1 µm, t ox =2.2 nm 10 0 10 1 10 2 10 3 10 4 Stress Time (s) C. Schlünder et al. Microelectron. Rel. 39, 821 (1999) N. Kimizuka et al., IEEE VLSI Symp. 92 (2000)

Threshold Voltage Threshold voltage of p-channel MOSFETs decreases with stress time. Why? Q Q ox it V T = φms + 2φF + Cox Cox Q C S ox - V T (mv) 10 W/L = 10 µm/2 µm 8 t ox =15 nm, T=135 o C 6 4 2 V G =-8 V 0 10-3 10-2 10-1 10 0 10 1 Stress Time (h) C. Schlünder et al. Microelectron. Rel. 39, 821 (1999)

+ + + + + SiO 2 and SiO 2 /Si Interface Si, Si/SiO 2 interface, SiO 2 bulk, and oxide defect structure A: Si-Si Bond (Oxygen Vacancy) Hydrogen C A D B B: Dangling Bond C: Si-H Bond D: Si-OH Bond Oxygen This looks really messy! Dangling Bond (D it ) Si

Interface Traps Si has 4 electrons At the surface, Si atoms are missing: D it ~ 10 14 cm -2 ev -1 After oxidation: D it ~ 10 12 cm -2 ev -1 After forming gas (H 2 /N 2 ) anneal: D it ~ 10 10 cm -2 ev -1 D it are energy levels in the band gap at the Si surface Hydrogen is very important?

NBTI Mechanism A hole (h) is attracted to the Si/SiO 2 interface It weakens the Si-H bond until it breaks The hydrogen (H) diffuses into the oxide or Si substrate If H diffuses into the Si, it can passivate boron ions Leaves an interface trap (D it )

Holes Are The Problem! Electromigration Via Electromigration Chip Delamination P. Nguyen, ASU Cu t Voids = 38 h W plug 10 µm T.S. Sriram and E. Piccioli Compaq Flip Chip Voiding L. Wagner, IRPS 2004 G. Dixit, IRPS 2004 10 µm Solder Bump EM p + p + L. Wagner, IRPS 2004 40 µm n-type J = 2.25x10 4 A/cm 2 K. Tu, UCLA

Interface Trap Charge Band diagrams of the Si substrate of a p-channel MOS device shows the occupancy of interface traps and the various charge polarities for an n-substrate Acceptor with electron: negative charge Donor without electron: positive charge (a) negative interface trap charge at flat band (b) positive interface trap charge at inversion (Heavy line: interface trap occupied by an electron; light line: unoccupied by an electron) Acceptors "0" Donors "0" D it E C E F E i "0" "+" "-" "0" E C E F E i (a) E V (b) E V

Threshold Voltage N it and N f 10 10 cm -2; 0.1 µm x 1.0 µm gate (A = 10-9 cm 2 ), there only 10 interface traps and 10 fixed oxide charges at the SiO 2 /Si interface under the gate V T V T = Qit + Q C ox f = 20q t K ε A ox o ox = 1.6x10 3.45x10 19 13 x20 x10 9 t ox = 9.2x10 3 t ox For t ox = 5 nm V T -5 mv. For V T = -50 mv, device failure N it = N f = 10 11 cm -2 Suppose that in a matched analog circuit, one MOSFET experiences V T -10 mv and the other V T -25 mv. This 15 mv mismatch in a V T = -0.3 V technology 5% mismatch. High performance analog transistor pairs that require mismatch tolerances of 0.1% to 0.01%.

Reaction Diffusion Model Holes interact with Si-H bond Holes weaken Si-H bond At elevated temperature, the Si-H bonds dissociate + Si3 SiH + h Si3 Si + H + Initially D it generation ~ Si-H dissociation rate (reaction limited) Later D it generation ~ hydrogen diffusion rate (diffusion limited) M.A. Alam, IEDM, 2003; IRPS 2005

Reaction Diffusion Model dn dt it = k ( N N ) k N N ( x = f Si H it r it H 0) Reaction H-Si -V H -Si n-si G H-Si Diffusion H-Si -V H -Si n-si G H -Si H-Si 0 H -Si n-si H -Si Threshold Voltage Time

Hydrogen Model Hydrogen from Si substrate drifts to SiO 2 /Si interface H o near/at SiO 2 /Si interface traps a hole H + H + depassivates Si-H bond D it and H 2 Si + + 3 SiH + H Si3 Si H2 Some H + drifts into SiO 2 N ot D.M. Fleetwood et al. Appl. Phys. Lett. 86, 142103 (2005)

I Device Dependence Wµ C eff ox D, lin = ( VG VT VD / 2) 1+ VD / ε latl δi I D, lin D, lin / L δvt = V V G T V D I ( V 2 G T n D, sat = WvsatCox WvsatCox ( VG VT ) VG VT + ε satl L dependence δi I D, sat D, sat V ) δvt = n V V Long channel: n 2, short channel: n 1 Hence long channel degradation worse I D dependence I D,sat worse than I D,lin due to n G T

t ox dependence Device Dependence With scaling, t ox and V G -V T (headroom) Hence thin oxide degradation worse for same V T µ eff dependence C = it qd it qδd it δ T = = Cox For same V T, as t ox δd it, µ eff V qδdit K ε Hence mobility degradation worse for thin oxides ox o t ox

How Can This Be? The lower picture is after the parts are moved Where does the missing hole come from?

Effect on Circuits Inverter V in V out n-mos p-mos Bias Stress Stress 1 V DD 0 V PBTI Off State 2 0 V V DD Off State NBTI V DD p-mos NBTI V in V out V(t) V in (t) V out (t) V SS Time

CMOS Inverter Degradation p-mos NBTI degradation is dominant degradation mechanism during static inverter stress 10 V G = 2.8 V p-mos NBTI - V T (mv) 1 n-mos Off State n-mos PBTI p-mos Off State T=105 o C 0.1 0 1000 2000 3000 4000 5000 Stress Time (s) V. Reddy et al. IEEE 40 th Ann. Int. Rel. Symp., 248 (2002)

Effect on Circuits Occurs primarily in p-channel MOSFETs with negative gate voltage bias and is negligible for positive gate voltage In MOS circuits, it occurs most commonly during the high state of p-channel MOSFETs inverter operation Leads to timing shifts and potential circuit failure due to increased spreads in signal arrival in logic circuits Asymmetric degradation in timing paths can lead to non-functionality of sensitive logic circuits product field failures

t d dependence t d CV = I D DD δt t 0.25 δtd Kt E = Eox exp t d VG VT E Circuit delay degrades with V T increase Circuit Dependence ISCAS C423: 10% after 10 yrs* C GD dependence With stress, D it, C it, C GD d d δvt = n V V G Important for analog circuits (Miller effect) ox 0 T Drain Current Shift * B.C. Paul et al., IEEE Electron Dev. Lett. 26, 560 (2005) Headroom Limited (V G - V T ) -1 Degradation Limited (δv T ) Operating Voltage A.T. Krishnan et al., IEDM 14.5.1, 2003

Model V T Shifted Model $ Circuit Degradation #& Accounts for %'( NBTI impact on Channel charge!"# RO $ Frequency Degradation $%% (Digital) -3.2% -4.5% Change in Unity Gain Bandwidth (Analog) -1.0% Degraded Channel charge %+ + ) Mobility * -1.3% I+ V only %# Including $ GD $ Degradation Channel charge ) + Mobility *) + $ GD +,-. -4.9% +,-, -4.4% V T shifted model captures only ~60% (20%) of the degradation for digital (analog) circuits A.T. Krishnan IRPS 2004

Circuit Degradation Degradation in digital circuits FPGA performance Ring oscillator f max SRAM static noise margin Microprocessor Degradation in analog circuits Current mirror Operational amplifier

DC Versus AC Stress Stress creates interface traps ( Si ) Si 3 D it generation Initially determined by Si-H dissociation rate Later determined by hydrogen diffusion from interface When stress is terminated hydrogen diffuses back Interface traps are passivated dc: D it generation ac: D it generation, passivation M.A. Alam, IEDM, 2003 ac stress leads to reduced degradation!

Damage Relaxation When stress is terminated, degradation relaxes For sufficiently long times, all damage is healed Important to indicate the time between stress termination and NBTI measurements Threshold voltage Drain current Transconductance Interface traps Mobility I Dlin (%) 17 15 13 11 Recovery 1 ms after stress termination T=125 o C 9 10-3 10-2 10-1 10 0 10 1 10 2 10 3 Recovery Time (s) S. Rangan et al., IEDM, 2003

Damage Relaxation For sufficiently long recovery time, damage disappears Temperature dependent Higher temperature, less recovery Hydrogen diffuses further from SiO 2 /Si interface and is not available during recovery If hydrogen diffuses all the way to the poly-si gate, it may disappear Fraction Remaining 0.8 0.4 T=-40 o, 25 o C T=125 o C Stress and recovery temperatures equal 0 10-3 10-2 10-1 10 0 10 1 10 2 10 3 10 4 Recovery Time (s) S. Rangan et al., IEDM, 2003

DC Versus AC Stress Dynamic stress lifetime Transistors in circuit switch at different frequencies Some transistors may not switch out of NBTI state Could increase mismatch between paths switching at different rates S.S. Tan et al. IRPS, 35 (2004) Lifetime Enhancement Lifetime (s) 1000 100 Duty cycle: 25% 50% 10 75% T=125 o C t ox =1.8 nm 1 10 0 10 1 10 2 10 3 10 4 10 5 10 6 10 15 10 13 10 11 10 9 10 7 f=100 khz 10 5 T=125 o C t ox =1.8 nm 10 3-0.5-1 Frequency (Hz) -1.5 D-Inv D-Unipolar D-Bipolar τ @ V T =30 mv -2 Gate Voltage (V) -2.5

Effect of Hydrogen Hydrogen commonly used for interface trap passivation (~400-450 C, 20-30 min) Hydrogen can exist as Atomic hydrogen H 0 Molecular hydrogen, H 2 Positively charged hydrogen or proton, H + Part of the hydroxyl group, OH Hydronium, H 3 O + Hydroxide ions, OH - Hydrogen is believed to be the main passivating species for Si dangling bonds and plays a major role during NBTI stress, when SiH bonds are depassivatedinterface traps

Effect of Nitrogen Nitrogen may improve or degrade NBTI NBTI enhanced by nitrogen Nitrogen lowers activation energy Nitrogen profile affects impact Lower N at interface is better Plasma nitridation gives least NBTI Lifetime (s) 10 11 10 10 10 9 10 8 10 7 10 6 SiO 2 Low Nitrogen V G =-1.2 V, t ox =2.2 nm 2 3 4 1000/T (K -1 ) 10 Years High Nitrogen N. Kimizuka et al., IEEE VLSI Symp. 92 (2000)

Grow thermal oxide NO anneal or plasma nitridation Reduced gate leakage current (same oxide thickness) Similar reliability Improved NBTI with plasma nitridation Improves both digital and mixed-signal performance B.Tavel et al., IEDM, 2003 Effect of Nitrogen Nitrogen (cm -3 ) I Dsat (%) 10 1 0.1 t ox =6 nm T=125 o C V G =-3.6 V NO Annealed Plasma Nitrided Oxide 0.01 10 0 10 1 10 2 10 3 10 4 1 10 22 8 10 21 6 10 21 4 10 21 2 10 21 t ox =3 nm Stress Time (s) NO Annealed Plasma Nitrided Oxide 0 0 0.5 1 1.5 2 2.5 3 Depth (nm)

Effect of Nitrogen B.Tavel et al., IEDM, 2003

Effect of Water Water in the oxide enhances NBTI D it and Q ox increases are observed in damp and wet oxides; diffusion species is water Wet H 2 -O 2 grown oxide to exhibit worse NBTI than dry O 2 grown oxides Water is often present on wafers from contact and via formation Water and moisture mostly travel along interfaces Water-originated reaction has lower energy at the Si/SiO x N y interface than at the Si/SiO 2 interface NBTI is enhanced by water incorporation in oxide

Effect of Fluorine Fluorine improves NBTI Hardens SiO 2 /Si interface Fluorine is believed to relieve strain at SiO 2 /Si interface 1 Normalized V T 0.8 0.6 t ox =3.5 nm 0.4 t ox =6.8 nm 0.2 0 0 1 10 14 2 10 14 3 10 14 4 10 14 5 10 14 Fluorine Dose (cm -2 ) T.B. Hook et al., IEEE Trans. Electron Dev. 48, 1346 (2001)

Fluorine Incorporation of fluorine atoms into SiO 2 improves Q BD SIMS and Fourier transform infrared spectroscopy strained layers are localized near the SiO 2 /Si interface Fluorine releases the distortion of the strained Si-O bonds Fluorine diffuses into gate-oxide React with the strained Si-O bonds and release the distortion Released oxygen atoms re-oxidize the Si-SiO 2 interface Forms Si-F instead of Si-H bonds Si-F bond stronger than Si-H bond F Si O F Si O Si F Si Strained Si-O Bond F O F Si F Si Strain Release Si F O F Poly-Si Gate SiO 2 H Si Si Re Si Oxidation F Si Y. Mitani et al. Improvement of Charge-to-Breakdown Distribution by Fluorine Incorporation Into Thin Gate Oxides, IEEE Trans. Electron Dev. 50, 2221, Nov. 2003

Effect of Deuterium Hot carriers degrade devices through: interface state generation, oxide charge trapping, mobility/transconductance degradation Post metallization anneal (~450 C/30 min): forming gas or hydrogen Si-H bonds form at SiO 2 /Si interface Si-H bonds easy to form, but also easy to break Si-D bonds are stronger (D: deuterium is a stable isotope 5% I 10 of hydrogen with natural 0 D,sat Degrad. 10-6 10-5 10-4 abundance of 0.0015%) Substrate Current (A/µm) Hot carrier resistance W. F. Clark et al., IEEE Electron Dev. Lett. 20, 501 (1999) enhanced by D 2 Lifetime (s) 10 6 10 5 10 4 10 3 10 2 10 1 Hydrogen H2: 1st Level Metal H2: 5th Level Metal D2: 1st Level Metal D2: 5th Level Metal Line Fit Line Fit Deuterium

Effect of Deuterium Deuterium improves NBTI A heavy variant of hydrogen Stable isotope of hydrogen containing a proton as well as a neutron in its nucleus Due to its heavier mass, Si-D bonds are more resistant than Si-H bonds to hot carrier stress as well as NBTI - V T (mv) 100 Dry Oxidation H 2 PMA 10 D 2 PMA V G = -2.9 V t ox =3.8 nm, T=150 o C 1 10 1 10 2 10 3 10 4 Stress Time (s)

Effect of Oxide Thickness V T shift, V T, depends on oxide thickness V T ~ t ox for same interface trap density V T = Q C it ox = qnit K ε ox o t ox Norm 3 2 1 0 After Before Stress -1 T=125 o C -2 E=10 MV/cm W/L=5/1 µm -3-0.5-0.4-0.3-0.2-0.1 0 V T (V) t ox =1.5 nm Norm 3 2 1 After Before Stress 0 t ox =5 nm -1 T=125 o C -2 E=10 MV/cm W/L=4/0.5 µm -3-0.5-0.4-0.3-0.2-0.1 0 V T (V) M. Agostinelli et al., IRPS, 171 (2004)

Effect of Boron Boron degrades NBTI Boron diffuses into the gate oxide from the boron-doped gate and from the source/drain implants Reduced D it due to Si-F bonds from the BF 2 boron implant Enhanced Q ox due to increased oxide defects due to boron in the oxide Lifetime (Years) 10 6 10 5 10 4 10 3 10 2 10 1 10 0 t ox =6.5 nm T=100 o C Without B Penetration With B Penetration 0 0.2 0.4 0.6 0.8 1 1.2 Gate Length (µm) Yamamoto et al. IEEE Trans. Electron Dev. 46, 921 (1999)

NBTI Minimization Have initially low densities of electrically active defects at the SiO 2 /Si interface and keep water out of the oxide Silicon nitride encapsulation layer has been found effective in keeping water away from the active CMOS devices, improving NBTI performance Minimize stress and hydrogen content Keep damage at the SiO 2 /Si interface to a minimum during processing Plasma damage degrades NBTI in p-mosfets, but not n-mosfets Deuterium improves both hot carrier stress and NBTI Important to ensure deuterium can get to the SiO 2 /Si interface and passivate dangling bonds or replace the hydrogen with deuterium in existing Si-H bonds

NBTI Minimization Nitrogen incorporation has given conflicting results. Some authors claim an NBTI improvement, while others observe degradation The method and chemistry of oxide growth has significant effects on NBTI Wet oxides show worse NBTI degradation then dry oxides Fluorine leads to an improvement. F in the gate oxides can significantly improve NBTI and 1/f noise performance Boron degrades NBTI Oxide electric field important

NBTI Summary Can be a significant contributor to p-mosfet degradation in submicron devices Needs to be considered during optimization between device reliability and circuit performance Sensitive to a variety of process parameters, e.g., hydrogen, nitrogen, fluorine, boron, etc. Can occur during burn in Can occur during circuit operation at elevated temperatures

What Is It?