Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process

Similar documents
Study of Iso/Dense Bias of BARCs and Gap-Fill Materials on Via Wafers Runhui Huang, Brewer Science, Inc Brewer Dr., Rolla, MO 65401, USA

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

Novel Approach of Semiconductor BEOL Processes Integration

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Introduction to Photolithography

Sensors and Metrology. Outline

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Nanometer Ceria Slurries for Front-End CMP Applications, Extendable to 65nm Technology Node and Beyond

Lecture 8. Photoresists and Non-optical Lithography

1

Optical Proximity Correction

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

Thin Wafer Handling Challenges and Emerging Solutions

Etching: Basic Terminology

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

Development of Lift-off Photoresists with Unique Bottom Profile

Introduction. Photoresist : Type: Structure:

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

ECE520 VLSI Design. Lecture 8: Interconnect Manufacturing and Modeling. Payman Zarkesh-Ha

Lecture 0: Introduction

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

1 INTRODUCTION 2 SAMPLE PREPARATIONS

Passionately Innovating With Customers To Create A Connected World

Carrier Transport by Diffusion

Resist material for negative tone development process

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

ETCHING Chapter 10. Mask. Photoresist

Taurus-Topography. Topography Modeling for IC Technology

Photolithography II ( Part 1 )

IC Fabrication Technology

INVESTIGATION OF A SPIN-ON DIELECTRIC AS AN INTERLAYER DIELECTRIC FOR THE MARVELL NANOFABRICATION LABORATORY CMOS210 BASELINE PROJECT

A Temporary Bonding and Debonding Technology for TSV Fabrication

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks

Fabrication Technology, Part I

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing

Thin Wafer Handling Debonding Mechanisms

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG

Chapter 3 Basics Semiconductor Devices and Processing

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Three Approaches for Nanopatterning

Pattern Transfer- photolithography

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures

Structuring and bonding of glass-wafers. Dr. Anke Sanz-Velasco

Reactive Ion Etching (RIE)

Characterization of Post-etch Residue Clean By Chemical Bonding Transformation Mapping

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

High Optical Density Photomasks For Large Exposure Applications

Patterning Challenges and Opportunities: Etch and Film

EV Group. Enabling processes for 3D interposer. Dr. Thorsten Matthias EV Group

Plasma Etch Tool Gap Distance DOE Final Report

Electron-beam SAFIER process and its application for magnetic thin-film heads

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking

MICROCHIP MANUFACTURING by S. Wolf

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION METROLOGY FOR

Important challenge for the extension of Spacer DP process

CHAPTER 6: Etching. Chapter 6 1

Section 3: Etching. Jaeger Chapter 2 Reader

Christopher L. Borst Texas Instruments, Inc. Dallas, TX. William N. Gill Rensselaer Polytechnic Institute Troy, NY

Lithography and Etching

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Dainippon Screen Mfg. Co., Ltd , Takamiya, Hikone, Shiga , Japan. IMEC vzw, Kapeldreef 75, B-3001 Leuven, Belgium

EE C245 ME C218 Introduction to MEMS Design Fall 2007

LECTURE 5 SUMMARY OF KEY IDEAS

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis

Tilted ion implantation as a cost-efficient sublithographic

ORION NanoFab: An Overview of Applications. White Paper

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Hybrid Wafer Level Bonding for 3D IC

Copyright 1994 by the Society of Photo-Optical Instrumentation Engineers.

(12) United States Patent (10) Patent No.: US 6,365,505 B1

Superconducting Ti/TiN thin films for mm wave absorption

Competitive Advantages of Ontos7 Atmospheric Plasma

A Novel Approach to TSV Metallization based on Electrografted Copper Nucleation Layers. Claudio Truzzi, PhD Chief Technology Officer Alchimer

Current Status of Inorganic Nanoparticle Photoresists

SEMATECH Knowledge Series 2010

Photolithography 光刻 Part II: Photoresists

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly

Micro- and Nano-Technology... for Optics

Nanoimprint Lithography

Impact of Modern Process Technologies on the Electrical Parameters of Interconnects

We published the text from the next page.

EE141- Spring 2003 Lecture 3. Last Lecture

Top down and bottom up fabrication

Industrial In-line and Multi Component Monitor Using Absorption Spectroscopy and Its Application

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

5. Photochemistry of polymers

Carbon Nanotubes in Interconnect Applications

nmos IC Design Report Module: EEE 112

Chapter 12: Electrical Properties. RA l

Transcription:

Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process Mandar Bhave, Kevin Edwards, Carlton Washburn Brewer Science, Inc., 2401 Brewer Dr., Rolla, MO 65401, USA. Satoshi Takei, Yasushi Sakaida, Yasuyuki Nakajima Nissan Chemical Industries Ltd., 635.Sasakura Fuchu-machi Neigun, Toyama, 9392792, Japan. ABSTRACT This paper discusses a novel approach of using a developer-soluble gap fill material 1, wherein the gap fill material is coated in a layer thick enough to planarize all the topography and is then recessed using a standard 0.26N TMAH developer. The material recess process takes place in the same coater track where it is coated and therefore simplifies the process and increases wafer throughput. Performance and properties of two types of developer-soluble gap fill materials (EXP03049 and NCA2528) based on two different polymer platforms will be discussed in detail. Keywords: Gap fill material, Via-first Dual Damascene (DD) process, iso-dense fill bias, Developer 1. INTRODUCTION The need for constant reduction in critical dimensions (CD) of integrated circuits (ICs) to make them faster has been the driving force for next-generation lithography. Copper is slowly replacing aluminum as the interconnect material in advanced ICs manufactured using either single inlay or DD processes. The transition from aluminum as a conducting metal to copper has been difficult one with many material and process challenges. The conventional method of patterning trenches in a via-first DD process involves filling the vias with a dry-etch organic bottom anti-reflective coating (BARC) 2, applying the photoresist and then performing trench lithography. Typically a semiconductor substrate will have dense/isolated via arrays and large open areas where there are no via holes. A conventional organic via fill BARC does a poor job of planarizing the substrate. A large fill bias between the isolated and dense via arrays is usually observed when such a process is used (Figure 1), the bias can be as high as 100 to 150nm depending on via size and density. This huge fill bias creates problems during trench lithography by narrowing down the process latitude and causing overetching in the dense via arrays during final trench etch, which eventually creates different trench depths across the via arrays. Another approach, currently being used is to apply a thick layer of material, which does not need to have anti-reflective properties but can fill via holes and planarize the topography. These materials are often referred as a gap fill materials. Modified i-line photoresists have been commonly used as gap fill materials to planarize topography. Gap fill materials are applied to the substrate and then etched back by using either a reactive ion etch (RIE) process or chemical mechanical polishing (CMP) 3. The substrate is then coated with a conventional KrF or ArF BARC to provide reflectivity control. Coating a thick material to planarize topography reduces the isolated-dense via fill bias, which results into wider lithography and etch latitude. The process mentioned above requires wafers, which are coated with the gap fill material, to be transferred to the Etch/CMP tool for the etchback step and brought back to the photo bay for BARC coating and trench lithography. This transfer process is cumbersome, reduces the wafer throughput and could generate particles during the multiple wafer handling steps involved. Furthermore using the RIE process to do a recess to the substrate could damage alignment marks on the wafer. A bilayer process is used by some IC makers, on back-end layers as an alternative to the gap fill, dry etch-back process. The silicon-containing photoresist used in the bilayer process has its own problems, for instance, line edge roughness (LER) and narrow process latitude, to name a few. Other alternatives have been proposed to move away from the dry RIE and bilayer process. One of them involves using a conventional EBR solvent 4 and other uses a developer-soluble gap fill material to do a recess to the substrate. The latter will be discussed in detail in this paper, which outlines the different integration schemes. Copyright 2004 Society of Photo-Optical Instrumentation Engineers. This paper will be published in Proceedings of SPIE: Advances in Resist Technology and Processing XXI, vol. 5376, John L. Sturtevant, editor, 2004, and is made available as an electronic preprint with permission of SPIE. One print or electronic copy may be made for personal use only. Systematic or multiple reproduction, distribution to multiple locations via electronic or other means, duplication of any material in this paper for a fee or for commercial purposes, or modification of the content of the paper are prohibited.

Figure 1. Iso-dense fill bias seen with conventional full via fill materials. Developer soluble BARCs have been traditionally used for lift off and more recently are being evaluated for front-end processes such as implant layers. These materials simplify processing because they do not need a BARC open-etch step normally required by conventional dry-etch BARCs and thereby improve the etch budget. Developer-soluble materials, unlike dry-etch materials are more sensitive to bake temperature and their performance could be adjusted during the bake or the develop process. Figure 2 shows a schematic process flow for a developer-soluble gap fill material to planarize topography (using two schemes) in a via-first DD sequence. Via hole litho Via fill Etch back ARC coating Trench litho. BARC/Hardmask Figure 2. Schematic for patterning metal trenches using gap-fill material (via-first DD process) with a spin-on organic BARC and an inorganic BARC/hardmask approach. 2. EXPERIMENTAL All the gap fill materials were formulated to achieve a thickness of about 300nm on a flat silicon wafer. The substrates used for via fill testing were obtained from International Sematech (ISMT) and IMEC and consisted of isolated and nested via arrays across the wafer. The via dimensions were 250nm x 700nm on ISMT wafers and 220nm x 100nm on IMEC wafers. The wafers and in some cases wafer chips used for via fill testing were coated using a TEL Mark8, DNS clean track and CEE 100 bench top spinners respectively. Measurements and cross-sections were done using a LEO 1560 SEM. Wafers with different dielectrics and organic BARCs were blanket etched on a Nippon Scientific Company etcher in Japan.

3. RESULTS AND DISCUSSION Two formulations based on two different polymer platforms were characterized. These developer-soluble gap fill materials are versatile, and can be used with different schemes or process flows. The three schemes we propose are: 1. Doing a recess using a developer and stopping at the substrate or top of the via 2. Doing a recess inside the via to get different degrees of partial fill 3. Doing a partial recess wherein the material recess is stopped at a 1 st or 2 nd reflectivity minimum of the material. In all the options mentioned above, the gap fill material is coated thick enough to planarize all the topography present on the wafer. Fill bias between isolated and nested vias is dependent on the thickness of the film, via size, via pitch, and density. One of the mathematical equations predicting theoretical iso-dense fill bias is shown in Figure 3. A smaller area ratio (A), smaller via depth (h) and a higher solid content (S) coresponds to a smaller fill bias. Figure 3. Equation predicting theoretical isolated-dense via fill bias. d1= hole width d2= Space width h= Hole depth S= Solid content (10%=0.1) A= Area ratio A= { (d1/2) 2 * π} {d1+d2} 2 If 1:1 spacing, d1=d2, A= 16/π Iso-dense fill bias = A*(1-S) *h The film is then baked at a temperature between 160C-220C for 60s. The bake temperature controls the develop rate of the film in the developer, specifically, baking the film at a higher temperature reduces the develop rate and vice versa. A standard 0.26N developer is then puddled on the film for 30-60s depending on the type of scheme used. The develop time also dictates the amount of film that would be left over after the wet recess. A partial fill or recess inside the via can be achieved by either longer develop times or lower bake temperatures. A conventional spin organic or a PECVDdeposited inorganic BARC (which also acts as a hardmask) provides reflectivity control during the trench lithography process. The first two schemes are relatively easy and robust, while the third scheme is difficult and requires tight control during the develop step because of the need to stop at a certain film thickness. The film also requires an extra bake step (not required for schemes one and two) for removing any residual developer present in the film to prevent poisoning of the photoresist. The photoresist is then directly applied on the recessed film, followed by trench lithography. Using this scheme requires that the gap fill material have absorbance at the wavelength of interest because the fill material will act as a BARC during lithography, Table 1 shows the n and k values of the materials evaluated. A dye can be added to these formulations to provide appropriate extinction coefficients for adequate reflectivity control at the wavelength of interest. The dye not only gives the required n and k values but also controls the develop rate. A dye having a high amount of phenol or carboxylic acid moieties will be more base soluble and the amount of dye put in the formulation can be varied to adjust the develop rates. Figure 4 compares the process flows for a conventional RIE scheme and a process using a developer-soluble gap fill material.

Figure 4. Comparison between conventional RIE/CMP process and developer recess process. Fencing or crowning defects are commonly seen with conventional full via fill materials. The main reason for these types of defects is the bias between the etch rates of the fill material and the inter-level dielectric (ILD). If the BARC fill material etches slower than the ILD in the trench etch gas recipe, a crown or a fence is created on the top of the trench after the etch process. This fence or crown is difficult to remove and creates problems during copper seed layer deposition and plating. Doing a recess inside the via (scheme 2) approximately equal to the height of the trench, to achieve partial fill, can prevent formation of fences or crowns. NCA2528 and EXP03049 show good selectivity to oxide and other low-κ dielectric materials in a CF 4 etch gas recipe (Figure 5). 1.8 1.6 Etch selectivity in CF4 1.4 1.2 1 0.8 0.6 0.4 0.2 0 DUV42P SiO2 LowK1 LowK2 LowK3 LowK4 EXP03049 NCA2528 Figure 5. Etch selectivity of developer-soluble gap fill materials to commonly used ILDs.

Dissolution rate is the single most important property of these fill materials. The films are composed of thermoset polymers, which upon hot plate cure form a crosslinked structure and cannot be stripped in common EBR solvents. Considering the process takes place in a controlled clean room environment (constant relative humidity and temperature), dissolution rate of a film in a developer is a function of the bake temperature and bake time. Bake temperature has the biggest effect on dissolution rate of the film (Figure 6). Log of Develop Rate (nm/s) 1000 100 10 EXP03049 EXP03049A NCA2528 1 140 150 160 170 180 190 200 Bake Temperature (ºC) Figure 6. Bulk develop rate of gap fill materials as a function of temperature. Different degrees of fill in the via hole can be obtained either by changing the bake temperature or the developer recess times (figures 8-11). Dissolution rate decreases as the bake temperature increases due to tighter crosslinking of the polymer film. The bulk develop rate of the film is different from the develop rate of the film in the via hole, and therefore material inside the via develops at a slower rate than the material on the top of the via. Two possible reasons for this phenomenon would be higher polymer crosslink density inside the via hole due to more heat transferred from the surrounding ILD (compared to heat transferred to the material in the bulk) because of more surface area. Also, the developer is more efficient in the open areas than inside the via hole. The small size of the hole limits fresh developer from coming in constant contact with the fill material, and the dissolution rate drops significantly. 3.1 Gap Fill Product Performance Two gap fill formulations, EXP03049 and NCA2528, were used to perform testing and characterization work. All the samples tested were formulated to achieve a thickness of around 300nm on flat silicon wafers at a spin speed of 1500rpm. EXP03049 can be used as gap fill materials as well as BARCs at 193nm and 248nm, while NCA2528 is strictly a gap fill material at 248nm (no absorbance) but can act as a BARC layer at 193nm. n k EXP03049 193nm 1.45 0.43 248nm 1.8 0.42 633nm 1.61 0 NCA2528 193nm 1.56 0.51 248nm 1.62 0.08 633nm 1.54 0 Table 1. Optical properties of via fill materials.

Fill bias between isolated and dense via arrays has been the primary metric to evaluate gap fill formulations after the developer recess process, but across-wafer uniformity after developer recess is also important in order to ensure good CD control during trench lithography. EXP03049 and NCA2528 show excellent across-wafer uniformity on an 8-inch via wafer done using a single puddle develop process. No center-to-edge uniformity issues were observed. Center Edge Figure 7. Across-wafer uniformity of developer-soluble gap fill material. 290nm 260nm Before developer recess After developer recess (60s single puddle) Figure 8. Developer recess up to the via tops with EXP03049 (180C/60s bake, 60s single puddle).

220nm 300nm Figure 9. Developer recess up to the via tops using NCA2528 (160C/60s, 60s single puddle). 295nm 380nm 140C/60s, 30s develop 160C/60s, 30s develop Figure 10. Partial via fill by changing bake temperature for EXP03049. 900nm 0sec 80sec 110sec 140sec Figure 11. Different degrees of via fill using different developer recess times with NCA2528.

4. CONCLUSIONS Performance of two developer-soluble via fill materials, EXP03049 and NCA2528, was discussed in this paper. Developer-soluble gap fill materials provide an excellent alternative to existing dry RIE and CMP processes used to achieve a planar surface required for resist coat and trench lithography. The gap fill material coat/bake and developer recess takes place in the same coater track, which eliminates the need to transfer wafers to RIE or CMP bays. This increases wafer throughput, reduces wafer handling multiple times, and can reduce generation of particles. These materials show excellent planarization properties and reduce the isolated-dense via fill bias. EXP03049 and NCA2528 show good etch selectivity to commonly used ILDs. Via fill properties of these developersoluble materials can be adjusted, to get full or partial fill, by changing the bake temperature or the developer recess time. Good etch selectivity to ILD and flexibility to get different degrees of via fill can resolve integration issues like fence or crown formation after final trench etch. 5. ACKNOWLEDGEMENTS The authors would like to thank Denise Howard and John Thompson for their diligence in processing the SEM pictures. The authors would also like to acknowledge Brooks Nettleship. Jamie Storie and Alice Guerrero for their help and technical support. 6. REFERENCES 1. Ho et al., Plug filling for dual damascene process, U.S. Patent No. 6,488,509, Dec. 3 2002. 2. Lamb III et al., Fill material for dual damascene processes, U.S. Patent No. 6,391,472, May 21, 2002. 3. Hung et al., Method of forming via-first dual damascene interconnect structure, U.S. Patent No. 6,458,705, Oct. 1, 2002. 4. Brakenseik N., C. Washburn and E. Murphy, Novel BARC Etch back method for Via-First Dual Damascene processes, Proceedings, Interface 2003, The Arch Chemicals Microlithography Symposium, Coronado, CA, Sept. 22-23, 2003.