Wet and Dry Etching. Theory

Similar documents
ETCHING Chapter 10. Mask. Photoresist

CHAPTER 6: Etching. Chapter 6 1

Section 3: Etching. Jaeger Chapter 2 Reader

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

LECTURE 5 SUMMARY OF KEY IDEAS

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

Etching: Basic Terminology

Reactive Ion Etching (RIE)

Device Fabrication: Etch

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

Chapter 7 Plasma Basic

課程名稱 : 微製造技術 Microfabrication Technology. 授課教師 : 王東安 Lecture 6 Etching

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

Chapter 7. Plasma Basics

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

Introduction to Photolithography

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Plasma Deposition (Overview) Lecture 1

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory

ELEC 7364 Lecture Notes Summer Etching. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA

Dynamization evolution of Dry Etch Tools in Semiconductor Device Fabrication Gordon Cameron Intel Corp (November 2005)

EE C245 ME C218 Introduction to MEMS Design Fall 2010

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

Introduction to Plasma

Plasma etching. Bibliography

MICROCHIP MANUFACTURING by S. Wolf

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS 1 MDL NTHU

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE 143 MICROFABRICATION TECHNOLOGY FALL 2014 C. Nguyen PROBLEM SET #7. Due: Friday, Oct. 24, 2014, 8:00 a.m. in the EE 143 homework box near 140 Cory

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

Clean-Room microfabrication techniques. Francesco Rizzi Italian Institute of Technology

Fabrication Technology, Part I

Film Deposition Part 1

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

CVD: General considerations.

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases

Lithography and Etching

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Chapter 9, Etch. Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm

Etching Capabilities at Harvard CNS. March 2008

Advances in Back-side Via Etching of SiC for GaN Device Applications

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey.

Thin Film Deposition. Reading Assignments: Plummer, Chap 9.1~9.4

Etching Applications and Discoveries Made Possible by Advanced Ion Energy Control

Repetition: Practical Aspects

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas

Etching. Etching Terminology. Etching Considerations for ICs. Wet Etching. Reactive Ion Etching (plasma etching) Professor N Cheung, U.C.

The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas*

Solutions for Assignment-6

6.5 Optical-Coating-Deposition Technologies

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur

Patterning Challenges and Opportunities: Etch and Film

Chemical Vapor Deposition (CVD)

Defining an optimal plasma processing toolkit for Indium Phosphide (InP) laser diode production

Lecture 10. Vacuum Technology and Plasmas Reading: Chapter 10. ECE Dr. Alan Doolittle

EE 434 Lecture 7. Process Technology

Chapter 8 Ion Implantation

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage

Chapter 2 FABRICATION PROCEDURE AND TESTING SETUP. Our group has been working on the III-V epitaxy light emitting materials which could be

EE-612: Lecture 22: CMOS Process Steps

Lecture 1: Vapour Growth Techniques

Regents of the University of California

Chemical Vapor Deposition *

Ion Implant Part 1. Saroj Kumar Patra, TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU )

Table of Content. Mechanical Removing Techniques. Ultrasonic Machining (USM) Sputtering and Focused Ion Beam Milling (FIB)

PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL


Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures

ECE611 / CHE611: Electronic Materials Processing Fall 2017 John Labram Solutions to Homework 2 Due at the beginning of class Thursday October 19 th

EE C245 ME C218 Introduction to MEMS Design

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

Chapter 2. The Well. Cross Sections Patterning Design Rules Resistance PN Junction Diffusion Capacitance. Baker Ch. 2 The Well. Introduction to VLSI

Characterization of low pressure plasma-dc glow discharges (Ar, SF 6 and SF 6 /He) for Si etching


EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6

Introduction to Thin Film Processing

Extrel Application Note

EE C245 ME C218 Introduction to MEMS Design Fall 2007

1. Narrative Overview Questions

Secondary Ion Mass Spectroscopy (SIMS)

Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods

Secondary ion mass spectrometry (SIMS)

EE C245 ME C218 Introduction to MEMS Design Fall 2007

Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges

MSN551 LITHOGRAPHY II

nmos IC Design Report Module: EEE 112

The goal of this project is to enhance the power density and lowtemperature efficiency of solid oxide fuel cells (SOFC) manufactured by atomic layer

IC Fabrication Technology

Transcription:

Wet and Dry Etching Theory 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern transfer, wafer planarization, isolation and cleaning. There are two fundamental groups of etching: wet etching (liquid-based etchants) and dry etching (plasma-based etchants). Wet etching removes the materials by immersing the wafers in a chemical solution that reacts with selected films to form soluble by-products. It is easily implemented and cost-efficient, and it etches quickly. Sometimes, high selectivity can also be achieved. However, it is difficult to control, prone to high defect levels on wafers, inadequate for defining feature sizes that are smaller than 1μm, and produces chemical waste. Due to these properties, wet etching is usually used for some noncritical processes such as wet cleaning (removal of SiO 2 layer to obtain clean Si wafers). Dry etching, also called plasma etching, sputters or dissolves the materials using reactive ions in a gas phase. It utilizes plasma instead of liquid etchants to remove the materials, which is more precise, controllable and repeatable compared to wet etching, but a more expensive vacuum system is required. For instance, plasmas are easier to start and stop, and less sensitive to temperature; moreover, they are capable of defining feature sizes smaller than 100nm. In this module, you will perform both wet and dry etching experiments. 2. Theory 2. 1. Etching metrics Etch rate is defined as etched depth per unit time. Common desired etch rates are between 100 to 1000 angstroms per minute. If the etch rate is too high, the process will be difficult to control. However, in some cases, high etch rates are preferable for deep hole etching (i.e., anisotropic etch process which creates deep penetrating holes and trenches in wafers) or substrate removal. Uniformity is the percentage variation of the etch rates across a wafer. Selectivity is the ratio of the etch rates of one materials over the other. For example, the selectivity is ratio of the etch rate of the layer being etched to the etch rate of the mask or the layer under the layer being etched. Etching with high selectivity is supposed to remove the selected layer entirely without harming the substrate and mask. For example, KOH etches polysilicon over oxide, with selectivity 1000 to 1, which shows that the polysilicon can etch 1000 times faster than oxide [1]. 1

Mask Film Good selectivity Bad selectivity Anisotropy Isotropic etching has the same etch rate in all directions. Anisotropic etching has different etch rates in the lateral and vertical directions. Anisotropic etching is preferable in semiconductor manufacturing processes. Most wet etching profiles are isotropic, except for etching crystalline materials, whereas etching profiles of dry etching are anisotropic. Mask Film Isotropic Anisotropic Anisotropy is defined as A = 1 R L R V, where RL and R V are the lateral and vertical etch rates, respectively. For perfectly anisotropic etching, A is 1. For perfectly isotropic etching, A is 0. Undercut is the lateral distance per side under the mask, as shown in Figure 1. It can be characterized by the etch rate anisotropy A. Sometimes, the etchant will attack the photoresist pattern, which causes an etch bias. Etch bias Undercut Figure 1. Undercut and etch bias 2.2. Wet etching Wet etching is a purely chemical process that removes materials from a wafer using liquidphase etchants. 2.2.1. Etchants Most wet etchants consists of an oxidizing agent, an agent for dissolving the oxides, and a 2

solvent, such as water. The typical materials of the oxidizing agent are H2O2, Br2, AgNO3/CrO3, HNO3, and NaOCl. The agents for dissolving the oxides can be an acid or base which can dissolve the oxidized layer, for example, NH4OH, NaOH, H2SO4, HCl, HF, and H3PO4. The solvent is usually water or CH3COOH to transport reactants and products. 2.2.2. Mechanisms and rate-limiting step A wet etching process involves multiple chemical and physical processes, which are described in Figure 2. First, the etchant species is transported to the surface of the wafer. This process typically includes diffusion of the etchant towards the surface and adsorption of the etchant at active sites on the surface. Second, a chemical reaction between the etchant and the exposed surface happens; this then produces soluble by-products. Finally, the products of the reaction will desorb and move away from the surface by diffusion. The slowest step of these processes is called rate-limiting step, which can determine the etch rate. If the thickness of the diffusion boundary layer is very small, the etching process will be determined by the chemical reaction step and become reaction-rate-limited. Diffusion-limited etching often occurs in viscous solutions which consist of high concentrations of the agent for dissolving the oxides and low concentrations of the oxidizing agent. The etch rate at the mask edge will be enhanced as the concentration of the etchant at the edge is higher, especially for diffusion-limited etching. Figure 3 shows the step height profilometer traces of GaAs surface etched with the H2SO4-H2O2-H2O based etchant on GaAs surface. For the 1:1:16 etchant, the step height profile is flat since the process is reaction-rate-limited. However, for the etchant concentration of 10:1:1, the etch process becomes diffusion-limited; therefore, the etched area is deeper at the edge. Liquid etchants Diffusion towards surface Diffusion away from surface Adsorption by surface Material to be etched surface diffusion Desorption of products reaction Figure 2. Wet etching mechanisms 3

Figure 3. Step height profilometer traces of two compositions of H2SO4-H2O2-H2O etchants on GaAs, showing (a) enhanced etching at the mask edge for 10:1:1, and (b) a flat-bottomed shape for 1:1:16. Note that a flat profile is obtained only when the distance between the mask edge and material to be etched is smaller than 400μm [2]. 2.2.3. Temperature dependence and agitation dependence The main factors controlling the etch rate are temperature and agitation. In a reaction-limited etching process, the etch rate shows a stronger dependence on the temperature than in a diffusion-limited etching processes. The relationship between etch rate R and temperature T can be described by the Arrhenius equation: R = R 0 e EA/kT, where R0 is the rate constant that depends on the density and diffusivity of reactants, EA is the activation energy, and k is the Boltzmann constant. To obtain parameters of the Arrhenius equation, a graph is plotted for ln R vs 1/T. The slope of this graph is (-E A /k) and the pre-exponential factor R0 is obtained from the intercept of this graph. High activation energy (greater than 20 KJ/mol) indicates that the etching is reaction-limited, whereas lower activation energy means diffusion-limited etching occurs. Usually reaction ratelimited etching is preferred to diffusion limited etching because it is easier to control comparatively as external agitation is not required and hence is repeatable. For a diffusionlimited etching condition, adequate agitations will help generate a large, uniform and wellcontrolled etch rate, since the agitation-induced turbulence will decrease the thickness of diffusion boundary layer. However, for a reaction-rate-limited process, agitation makes no change in etch rates. If the products of an etching process are gases, some bubbles will be formed in the solution, which may reduce the transport rate of etchants. In this case, adequate agitations can assist the movement of etchants into the surface. Figure 4 shows effects of both temperature and agitation on the rate of a diffusion-limited etching processes. Stirring increases the pre-exponential factor R0. However, it does not affect the activation energy E A. Therefore, the Arrhenius plots for reactions with and without stirring have the same slopes but different intercepts. 4

Figure 4. Temperature and agitation dependence of diffusion-limited etching processes, showing the Arrhenius plot in (100) GaAs using H2SO4-H2O2-H2O etchant (8:1:1) [3]. 2.2.4. Etching profile The shapes of etching sidewalls usually depend upon the orientation of the etched materials and etching conditions. Figure 5 shows three types of etching sidewall shapes: rectangular-shaped, positive sloping and negative sloping. For example, gradually positive sloping edges are more desirable, which allows continuous interconnection metal been deposited over the positive slope mesas. Rectangular-shaped sidewalls can lead to reduced conformal thicknesses and cause discontinuous films. On films with negative sloping sidewalls, one is also unable to deposit continuous interconnect metallization. Figure 6 shows an unsuitable etched profile produced by most reaction-rate-limited processes. Diffusion-limited etching tends to produce sloping edges; however, it will result in a severe trenching problem and is also considered undesirable. Figure 5. Etching sidewall shapes: (a) rectangular-shaped, (b) positive sloping, and (c) negative sloping [4]. Wet etching of polycrystalline materials like silicon is usually anisotropic because etchants like Potassium hydroxide (KOH) display an etch rate selectivity 400 times higher in the <100> crystal direction than in the <111> direction. An anisotropic wet etch on a silicon wafer creates a cavity with a trapezoidal cross-section. The bottom of the cavity is a {100} plane, and the sides are {111} planes, see Fig. 6d. 5

Figure 6. Anisotropic wet etch on Si wafer [5]. The blue material is an etch mask, and the green material is silicon. In our lab, we perform wet etching using BOE and KOH as etchants. BOE is used to etch the SiO 2 layer, while KOH is used to etch the underlying Si layer. We also determine if KOH etching of Si is a reaction- or diffusion-limited process. 2.3. Dry etching Dry etching is one of the most widely used processes in semiconductor manufacturing since it is easier to control, is capable of defining feature sizes smaller than 100 nm, and produces highly anisotropic etching. It may remove the materials by chemical reactions (using chemical reactive gases or plasma), by purely physical methods (e.g., sputtering and ion beam-induced etching), or with a combination of both chemical reaction and physical bombardment (e.g., reactive ion etching). 2.3.1. Plasma Plasma is a partially ionized gas composed of equal numbers of positive and negative charges, as well as some neutral molecules. Plasma can be generated by applying a strong radio frequency (RF) electromagnetic field to a gas, which breaks down gas molecules and generates ions, free radicals, electrons, photons and reaction by-products such as ozone. Since these reactive species have high energy, they create highly active and lowtemperature plasma which can etch materials precisely and efficiently. Some practical applications of plasma include clearing surface films and sputtering materials into a surface. 2.3.2. Dry etching mechanisms The mechanism of dry etching is very similar to the wet etching mechanism. First, a feed gas is introduced into the chamber, where it is broken down into chemically reactive species to form plasma. Reactive species diffuse to the surface to be etched and are then adsorbed on this surface. Once the reactive species reach the surface, they move around on the surface (this process is called surface diffusion) until they react with the exposed film. Afterwards, products of the reaction will desorb from the surface and diffuse away through the gas steam. In some cases, the sample chuck temperature is intentionally elevated to increase volatility of the products. 6

2.3.3. Reactive ion etching (RIE) RIE combines physical processes with chemical reactions. It uses a chemically reactive plasma generated under a low pressure (10-100 mtorr) to consume the materials deposited on wafers, along with non-reactive ionic bombardment which can make certain areas on the wafer accessible for chemical reactions. In the RIE system, the chuck holding wafer is grounded and another electrode is connected to the RF power source (see Figure 7). Generally, the frequency is 13.56 MHz. Since electrons are more mobile compared to positive ions due to their lighter weight, they travel longer distances and collide more frequently with the electrodes and chamber walls and are consequently removed from the plasma. This process leaves the plasma positively charged. Nevertheless, plasma tends to remain neutral charged; thus, a DC electric field is formed [6]. The region of surfaces in the chamber and electrodes, shown in Figure 7, is called dark sheath. Besides the chemical reaction between the plasma and the target material, the positive ions can be accelerated across the dark sheath by electrical field and strike the target material. This process is the physical bombardment which can also assist the etching process. In addition, in contrast to the wet chemical etching, RIE has a higher probability to move the etchants in the direction of the electric field and produces more anisotropic etch profiles. Dark sheath Dark sheath Figure 7. RIE system and dark sheath area with plasma [7]. In our lab, reaction ion dry etching is used to etch Si and SiO 2. Argon is the purge gas and SF 6 gas is the etchant gas. 2.3.4. Inductively Coupled Plasma etching (ICP) The ICP employs radio frequency generated by the electromagnetic induction coil to create plasma of ionized atoms and radicals capable of etching various semiconductor materials. As to the geometry and operation of ICP, the induction coil of the ICP is wrapped two or three times around the torch (a concentric quartz structure shown in Fig. 8) and has water flowing through it for cooling purposes. In order for the RF power to travel along the surface of the coil with minimum resistance, all ICPs have a capacitor bank, which is continuously tuned to match the plasma s inductance. The RF power supply maintains the plasma and the Tesla coil is used to ignite the plasma through the generation of electrons and ions, which couple with 7

the magnetic field. Very high plasma densities can be achieved by ICP and the etch profiles tend to be more isotropic than RIE. Fig 8 ICP Torch and ICP Schematic [8] Currently, the combination of typical RIE (parallel plate) and inductively coupled plasma RIE is possible. In this system, the ICP is employed as a high density source of ions which increases the etch rate, whereas a separate RF bias is applied to the substrate to create directional electric fields near the substrate to achieve more anisotropic profiles. However, it can also be run in RIE mode for certain low etch rate applications and control over selectivity and damages. 2.3.5. Ion Milling Ion Milling [9] is a physical etching technique where ions of inert gas (typically Argon) are accelerated from wide beam ion source into the surface of the wafer in vacuum in order to remove material to some desired depth. The continuous bombardment of the substrate by ions results in conversion of kinetic to heat energy and subsequent heating of the substrate. Substrate cooling is often required to prevent damage. Some of the kinetic energy from the incident ions results in substrate atom(s) or secondary ions being driven away from the surface. Heating the substrate, or letting it heat up in vacuum due to the process itself, can in fact accelerate the etch rate as substrate heating adds energy to the surface particles allowing them to be ejected with less incident kinetic energy. This may also be undesirable since it is a runaway condition. References 1. Etching selectivity ratios: http://www.ques10.com/p/11356/selectivity-ratios-of-etchants- 1/ 2. E. Kohn, A correlation between etch characteristics of GaAs etch solutions containing H2O2 and surface film structure, J. Electrochem. Soc., 127, 505-8 (1980) 8

3. S. Iida and K. Ito, Selective etching of GaAs crystals in H2SO4-H2O2-H2O system, J. Electrochem. Soc., 118, 768-71 (1971) 4. E.D. Ubeylia, I. Guler, Adaptive neuro-fuzzy inference system to compute quasi-tem characteristic parameters of microshield lines with practical cavity sidewall profiles, Neurocomputing, 70, 296 304 (2006) 5. https://en.wikipedia.org/wiki/etching 6. P. Verdonck, Plasma etching. 7. A. Kumar, Dry etching. 8. Arianne Bailio & Jacob Weinrich, The Easy Guide to ICP-MS (2012), http://www.ecs.umass.edu/eve/facilities/equipment/icpms/icpms%20quick%20guide.pdf 9. Ion milling AJA International Inc., http://www.ajaint.com/ion-milling-systems.html 9