Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Similar documents
ETCHING Chapter 10. Mask. Photoresist

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

Reactive Ion Etching (RIE)

Etching: Basic Terminology

Section 3: Etching. Jaeger Chapter 2 Reader

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

CHAPTER 6: Etching. Chapter 6 1

Device Fabrication: Etch

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

EE C245 ME C218 Introduction to MEMS Design Fall 2007

Regents of the University of California

Chapter 7. Plasma Basics

Chapter 7 Plasma Basic

LECTURE 5 SUMMARY OF KEY IDEAS

Wet and Dry Etching. Theory

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

Plasma etching. Bibliography

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

Plasma Deposition (Overview) Lecture 1

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

CVD: General considerations.

Proceedings Silicon Sacrificial Layer Technology for the Production of 3D MEMS (EPyC Process)

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

Etching Capabilities at Harvard CNS. March 2008

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2010

Technology for Micro- and Nanostructures Micro- and Nanotechnology

ELEC 7364 Lecture Notes Summer Etching. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6

Chapter 9, Etch. Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm

PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING

Introduction to Plasma

Fabrication Technology, Part I

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Clean-Room microfabrication techniques. Francesco Rizzi Italian Institute of Technology

Dynamization evolution of Dry Etch Tools in Semiconductor Device Fabrication Gordon Cameron Intel Corp (November 2005)

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition

Competitive Advantages of Ontos7 Atmospheric Plasma

DOE WEB SEMINAR,

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey

Characterization of low pressure plasma-dc glow discharges (Ar, SF 6 and SF 6 /He) for Si etching

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

Lecture 10. Vacuum Technology and Plasmas Reading: Chapter 10. ECE Dr. Alan Doolittle

Thin Film Deposition. Reading Assignments: Plummer, Chap 9.1~9.4

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

EECS C245 ME C218 Midterm Exam

Physique des plasmas radiofréquence Pascal Chabert

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS 1 MDL NTHU

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory

Copyright Warning & Restrictions

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL

EE C245 ME C218 Introduction to MEMS Design

Introduction to Photolithography

6.5 Optical-Coating-Deposition Technologies

EE-612: Lecture 22: CMOS Process Steps

EE C245 ME C218 Introduction to MEMS Design Fall 2007

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Table of Content. Mechanical Removing Techniques. Ultrasonic Machining (USM) Sputtering and Focused Ion Beam Milling (FIB)

Tool- and pattern-dependent spatial variations in silicon deep reactive ion etching

The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas*

EE C245 ME C218 Introduction to MEMS Design

Too many answers to list.

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher

Lithography and Etching

EE C247B / ME C218 INTRODUCTION TO MEMS DESIGN SPRING 2016 C. NGUYEN PROBLEM SET #4

課程名稱 : 微製造技術 Microfabrication Technology. 授課教師 : 王東安 Lecture 6 Etching

Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas

Introduction to Low Pressure Glow Discharges for Semiconductor Manufacturing with special Emphasis on Plasma Etching. Gerhard Spitzlsperger

b. The displacement of the mass due to a constant acceleration a is x=

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I.

DQN Positive Photoresist

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

Plasma atomic layer etching using conventional plasma equipment

Previous Lecture. Electron beam lithoghraphy e - Electrons are generated in vacuum. Electron beams propagate in vacuum

NNCI ETCH WORKSHOP - STANFORD NNCI PLASMA ETCH OVERVIEW. Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 24, 2016

A MINI-COURSE ON THE PRINCIPLES OF LOW-PRESSURE DISCHARGES AND MATERIALS PROCESSING

Industrial Applications of Plasma

SILICON DIOXIDE TO POLYSILICON SELECTIVITY OF A C2F6/CHF3 DRY ETCH PROCESS

Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges

Introduction to Plasma Etching

FINAL REPORT. DOE Grant DE-FG03-87ER13727

Plasma Surface Modification for Cleaning and Adhesion Ron Nickerson, Vice President AST Products, Inc. Billerica, MA

MODELING OF AN ECR SOURCE FOR MATERIALS PROCESSING USING A TWO DIMENSIONAL HYBRID PLASMA EQUIPMENT MODEL. Ron L. Kinder and Mark J.

MICROCHIP MANUFACTURING by S. Wolf

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey.

IC Fabrication Technology

Numerical Simulation of Bosch Processing for Deep Silicon Plasma Etching

Transcription:

Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask An-isotropic Isotropic Etching Issues - Selectivity Selectivity is the ratio of the etch rate of the target material being etched to the etch rate of other materials Chemical etches are generally more selective than plasma etches Selectivity to masking material and to etchstop is important Mask target Etch stop Dry Etching Overview What is dry etching? Material removal reactions occur in the gas phase. Types of dry etching Non-plasma based dry etching Plasma based dry etching Why dry etching? Development of dry etching Plasma parameters/influences

Dry Etching Advantages Eliminates handling of dangerous acids and solvents Uses small amounts of chemicals Isotropic or anisotropic etch profiles Directional etching without using the crystal orientation of Si Faithfully transfer lithographically defined photoresist patterns into underlying layers High resolution and cleanliness Less undercutting No unintentional prolongation of etching Better process control Ease of automation (e.g., cassette loading) Dry Etching Disadvantages: Some gases are quite toxic and corrosive Re-deposition of non-volatile compounds Need for specialized (expensive) equipment Types: Non-plasma based = uses spontaneous reaction of appropriate reactive gas mixture Plasma based = uses radio frequency (RF) power to drive chemical reaction Non-plasma Based Dry Etching Isotropic etching of Si Typically fluorine-containing gases (fluorides or interhalogens) that readily etch Si High selectivity to masking layers No need for plasma processing equipment Highly controllable via temperature and partial pressure of reactants Xenon Difluoride (XeF 2 ) Etching Isotropic etching of Si High selectivity for Al, SiO 2, Si 3 N 4, PR, PSG 2XeF 2 +Si 2Xe + SiF 4 Typical etch rates of 1 to 3 µm/min Heat is generated during exothermic reaction XeF 2 reacts with water (or vapor) to form HF

Interhalogen (BrF 3 & ClF 3 ) Etching Nearly isotropic profile Gases react with Si to form SiF 4 Surface roughness: ~40 to 150 nm Masks: SiO 2, Si 3 N 4, PR, Al, Cu, Au, and Ni Plasma Based Dry Etching RF power is used to drive chemical reactions Plasma takes place of elevated temperatures or very reactive chemicals Types: Physical etching Chemical etching Reactive ion etching (RIE) Deep reactive ion etching (DRIE) Plasma Plasma= partially ionized gas consisting of equal numbers of + (ions) and - (electrons) charges and a different number of neutral (un-ionized) molecules An ion-electron pair is continuously created by ionization and destroyed by recombination Typical kinetic energy (KE) of an electron in plasma is 2-8 ev KE = ½ mv 2 = 3 / 2 kt m = particle mass V = particle mean velocity k = Boltzmann constant T = temperature (K) 2 ev electron has T 15,000 K V 6 x 107 cm/s = 1,342,16176 mph Plasma Formation Chamber is evacuated Chamber is filled with gas(es) RF energy is applied to a pair of electrodes Applied energy accelerates electrons increasing kinetic energy Electrons collide with neutral gas molecules, forming ions and more electrons Steady state is reached (plasma); ionization = recombination

Plasma Formation Plasma Parameters Plasma discharge is characterized by central glow or bulk region and dark or sheath regions near electrodes Bulk region = semi-neutral (nearly equal number of electrons and ions) Sheath regions = nearly all of the potential drop; accelerates + ions from bulk region which bombard the substrate Maintained at 1 Pa (75 mtorr) to 750 Pa (56 torr) with gas density of 27 x 10 14 to 2 x 10 17 molecules/cm 3 Temperature Etching rate Spontaneous chemical reaction Etching directivity Pressure Ion density Ion directivity Power Ion density Ion kinetic energy Other variables Gas flow rate Reactor materials Reactor cleanliness Loading (microloading) Mask materials Physical Etching (Sputter Etching) Based on physical bombardment with ions or atoms Plasma is used to energize a chemically inert projectile so that it moves at high velocity when it strikes the substrate Momentum is transferred during the collision Substrate atoms are dislodged if projectile energy exceeds bonding energy Very similar to ion implantation, but low-energy ions are used to avoid implantation damage Highly anisotropic Etch rates for most materials are comparable (ie, no masking) Argon is the most commonly used ion source May result in redeposition Two Basic Plasma Systems

Plasma Etchers Chemical (Plasma) Etching: Plasma is used to produce chemically reactive species (atoms, radicals, and ions) from inert molecular gas Six major steps: Generation of reactive species (eg, free radicals) Diffusion to surface Adsorption on surface Chemical reaction Desorption of by-products Diffusion into bulk gas Production of gaseous by-products is extremely important Plasma Etching Steps Plasma Etching Systems Plasma Etching (PE) Barrel, barrel with downstream and symmetrical parallel plate system Pure chemical etching Isotropic etching

Reactive Ion Etching (RIE) RIE = process in which chemical etching is accompanied by ionic bombardment (ie ionassisted etching) Bombardment opens areas for reactions Ionic bombardment: No undercutting since side-walls are not exposed Greatly increased etch rate Structural degradation Lower selectivity RIE System Reactive Ion Etching (RIE) Asymmetrical parallel plate system Plasma, sheath and boundary layer Combination of physical and chemical etching Anisotropic etching Disadvantages of RIE Conflict between etching rate and anisotropic profile Etching rate (+) Reactive species concentration (+) Gas pressure (+) Collision (+) Anisotropic (-) Conflict between damage of high etching rate and anisotropic profile KE (+) Etching rate (+) damage (+) Deep Reactive Ion Etching (DRIE) Uses electron cyclotron resonance (ECR) source to supplement RIE system Microwave power at 245 GHz is coupled into ECR Magnetic field is used to enhance transfer of microwave energy to resonating electrons DRIE uses lower energy ions less damage and higher selectivity Plasma maintained at 0.5 to 3 mtorr

ECR Systems Electron Cyclotron Resonance (ECR) Higher plasma density at lower pressure Control the density of the reactive ions and their kinetic energy separately Downstream of plasma further limits the exposure to reduce damage Inductively Coupled Plasma (ICP) Simple system Almost same process result as that from the ECR system Two RF power generators to control ion energy and ion density separately ICP System (DRIE) Deep Reactive Ion Etch Deep Reactive Ion Etching BOSCH Patent Uses high density plasma to alternatively etch silicon and deposit a etch-resistant polymer on side walls Polymer STS, Alcatel, Trion, Oxford Instruments Unconstrained geometry 90 side walls High aspect ratio 1:30 Easily masked (PR, SiO2) Process recipe depends on geometry high density ICP plasma high aspect ratio Si structures cost: $500K vendors: STS, Alcatel, PlasmaTherm Source: LucasNova Polymer deposition Silicon etch using SF 6 chemistry Source: STS Source: STS Source: AMMI

Scalloping and Footing Issues of DRIE DRIE Structures Top wafer surface cathode Scalloped sidewall Top wafer surface anode Tip precursors <100 nm silicon nanowire over >10 micron gap Increased capacitance for actuation and sensing Low-stress structures single-crystal Si only structural material Highly stiff in vertical direction isolation of motion to wafer plane flat, robust structures Thermal Actuator Comb-drive Actuator 1 µm microgrid Milanovic et al, IEEE TED, Jan. 2001. Footing at the bottom of device layer 2DoF Electrostatic actuator Etch Chemistries Organic Films Oxygen plasma is required By-products: CO, CO 2, H 2 O Masks:Si, SiO 2, Al, or Ti Addition of fluorine containing gases significantly increases etch rate but decreases selectivity (due to HF formation) Etch Chemistries Oxide and Nitride Films Fluorine plasma is required (eg, CF 4 ) Mask: PR Addition of O 2 Increases etch rate Adjusts PR : oxide and PR : nitride selectivity Silicon Fluorine plasma (CF 4 or SF 6 ) Chlorine plasma (Cl 2 ) Mixed (fluorine and chlorine) plasma (Cl 2 + SF 6 )