Cost of Ownership Considerations for Maskless Lithography

Similar documents
Cost Implications of EUV Lithography Technology Decisions

Overview of EUV Lithography and EUV Optics Contamination

SEMATECH Knowledge Series 2010

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007

EUVL Readiness for High Volume Manufacturing

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Evolution of Optical Lithography towards 22nm and beyond Donis Flagello

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond

Chromeless Phase Lithography (CPL)

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure

Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML

Impact of Pellicle on Overlay in Double Patterning Lithography

EUV Lithography Towards Industrialization

Critical Dimension Uniformity using Reticle Inspection Tool

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing

Progress on ASML s EUV Alpha Demo Tool

Double patterning for 32nm and below: an update. ASML US Inc, 4211 Burton Dr. Santa Clara, CA, USA. IMEC vzw, Kapeldreef 75, B-3001 Heverlee, Belgium;

Actinic review of EUV masks: First results from the AIMS EUV system integration

EUV Lithography Status and Key Challenges for HVM Implementation

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

Yield. Economics. Victor Ovchinnikov. Chapters 36, 37

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

Lecture 14 Advanced Photolithography

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA

Sensors and Metrology. Outline

Litho scenario solutions for FinFET SRAM 22nm node

EUV lithography industrialization for HVM

Towards 3nm overlay and critical dimension uniformity: an integrated error budget for double patterning lithography

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

Competitive Semiconductor Manufacturing

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Status of EUV Sources for Mask Metrology

VACUUM TECHNOLOGIES NEEDED FOR 3D DEVICE PROCESSING

Figure 1 below shows the generic process flow of an LELE method of double patterning.

MICRO AND NANOPROCESSING TECHNOLOGIES

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography

Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry

Optimized Stepping for Fan-out Wafer and Panel Packaging P.10

Analysis of carbon contamination on EUV mask using CSM/ ICS

RS 1700/1900/2300/2900 High Density Reticle Stockers

Nanoimprint Lithography

A Reticle Correction Technique to Minimize Lens Distortion Effects

The study for image placement repeatability of EUV mask on the flat chuck

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X

SHRINK. STACK. INTEGRATE.

Lecture 0: Introduction

EUVL for HVM: Progress Update

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center

Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation

A Cost and Yield Analysis of Wafer-to-wafer Bonding. Amy Palesko SavanSys Solutions LLC

IEUVI Mask Technical Working Group

Thermal Expansion property and Surface Finish capability of CLEARCERAM -Z series for EUVL Photomask Substrate Application

EUREKA: A new Industry EUV Research Center at LBNL

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

ASML Approach to Euv Reticle Handling

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine

Thermo Scientific ICP-MS solutions for the semiconductor industry. Maximize wafer yields with ultralow elemental detection in chemicals and materials

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

193 nm STEP AND SCAN LITHOGRAPHY

MSN551 LITHOGRAPHY II

Analysis of the Photodegradation at 157 nm of Photolithographic-Grade Teflon AF Pellicles

Optical Proximity Correction

Development status of back-end process for UV-NIL template fabrication

Photolithography II ( Part 1 )

RS-C Flexible Reticle Stocker

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Resist-outgas testing and EUV optics contamination at NIST

Mask Characterization for Double Patterning Lithography

NSR-2205i14E (6" Reticle Type)

Lecture 8. Photoresists and Non-optical Lithography

TECHNOLOGY ROADMAP METROLOGY 2013 EDITION FOR THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY

Discussions start next week Labs start in week 3 Homework #1 is due next Friday

Techniken der Oberflächenphysik (Techniques of Surface Physics)

* AIT-4: Aberrations. Copyright 2006, Regents of University of California

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World

Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node

EUV Mask Carrier & Load Port Standards Workshop Overview

Lecture 15: Scaling & Economics

Title: ASML PAS 5500 Job Creation Semiconductor & Microsystems Fabrication Laboratory Revision: D Rev Date: 09/20/2012

Fall 2003 EE290H Tentative Weekly Schedule

Resist material for negative tone development process

Measurement of EUV scattering from Mo/Si multilayer mirrors

The Role of Wet Cleans in Semiconductor Process Development & High Volume Manufacturing Costs

SMP625 Product Specifications

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Development of a Mask-Scan EB Mask Writing System

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Top down and bottom up fabrication

Characterization of Optical Proximity Correction Features

Title: ASML Stepper Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 12/21/2010

SCI. Scientific Computing International. Scientific Computing International. FilmTek. Raising Thin Film Metrology Performance to a New Level

We published the text from the next page.

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT

Transcription:

Accelerating the next technology revolution Cost of Ownership Considerations for Maskless Lithography Lloyd C. Litt, SEMATECH Andrea F. Wüest, SEMATECH Copyright 2008 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.

Overview Introduction / Motivation COO Modeled Comparisons ML2 Cost Considerations Tool/System requirements for Low COO Conclusions 2

Motivation Leading edge litho cost will increase dramatically for the 32 nm half-pitch (hp) node Miniaturization of devices is continuing at the same pace Identify parameters for cost-effective lithography at 32 nm and hp 3

Overview 1,000 Wafers/Mask 45 nm 32 nm Excludes Excludes data data prep prep and and additional additional inspection inspection costs. costs. 500% 450% 400% 350% 300% Normalized values to 45 nm SE 250% 200% 150% 100% Reticle Clean Etch Metrology Deposition Litho 50% 0% Technology (wph) 45 nm ArFi SE (125) 32 nm LELE (180) 32 nm Freeze (180) 32 nm Spacer (180) 32 nm HI ArFi SE (120) 32 nm EUVL (50) LELE (200) Freeze (200) Spacer (200) HI LELE (135) EUVL (100) ML2 (50) ML2 (100) 4

Overview 5,000 Wafers/Mask 45 nm 32 nm 350% 300% 250% 200% 150% 100% 50% Reticle Clean Etch Metrology Deposition Litho 0% Technology (wph) 45 nm ArFi SE (125) 32 nm LELE (180) 32 nm Freeze (180) 32 nm Spacer (180) 32 nm HI ArFi SE (120) 32 nm EUVL (50) LELE (200) Freeze (200) Spacer (200) HI LELE (135) EUVL (100) ML2 (50) ML2 (100) 5

Results - hp (1,000 w/m) 45 nm 500% 450% 400% 350% 300% 250% 200% Reticle Reticle cost cost large large for for low low WPM WPM (70 90 (70 90 %) %) 150% Reticle Clean Etch Metrology Deposition Litho 100% 50% 0% 45 nm ArFi SE (125 wph) LELE (200 wph) Freeze (200 wph) Spacer (200 wph) HI LELE (135 wph) EUVL (100 wph) ML2 cluster (50 wph) ML2 cluster (100 wph) Technology (wph) 6

Results - hp (5,000 w/m) 45 nm 350% 300% 250% Defect inspection cost is a variable for ML2 200% 150% 100% Reticle Clean Etch Metrology Deposition Litho 50% Technology (wph) 0% 45 nm ArFi SE (125 wph) LELE (200 wph) Freeze (200 wph) Spacer (200 wph) HI LELE (135 wph) EUVL (100 wph) ML2 cluster (50 wph) ML2 cluster (100 wph) 7

ML2 Cost of Ownership Because some of the cost reduction is due to mask cost savings, the attractiveness of ML2 technology is highly dependant on the type and volume of production under consideration. A factory with a diverse mix of low volume products will potentially see a significant reduction in mask costs if ML2 is used. However, very large volume producers of a small number of parts (mainstream microprocessors, SRAM, DRAM, etc.) may not realize a large enough savings to consider ML2. 8 SEMATECH CONFIDENTIAL L. Litt

ML2 Cost of Ownership There are potential applications of ML2 within a large volume manufacturing operation that have some real value. Prototyping and design verification Reduce initial device product learning Reduce mask respin orders to correct design errors 9 SEMATECH CONFIDENTIAL L. Litt

ML2 Cost of Ownership Most ML2 cost-of-ownership assessments center on the potential savings of removing mask/reticle costs from the cost equation. Mask costs can be considerable and these savings are real But other aspects of the technology may quickly reduce these savings 10 SEMATECH CONFIDENTIAL L. Litt

Process COO Implications of ML2 *Relative costs approximated by size scale of boxes Prep Write Process RETICLE Inspect Expose Process Inspect WAFER 11

Mask Fabrication Cost Much of the cost of mask fabrication is from mask inspection. A significant portion of this cost category is likely to be transferred to the wafer fab in an ML2 implementation. This reduces the savings from removing the mask from the ML2 COO assessment. 12

ML2 COO Considerations Transfer of costs from mask fab to wafer fab Mask-based lithography relies on known-good masks. Each mask is inspected and verified for defects, CD, IP, etc. ML2 will not have known-good starting material. Additional inspection is needed in a wafer fab unless high confidence in writing quality. 13

Defect Inspection Sensitivity Analysis 5,000 Wafers per Mask Normalized cost per wafer (to 45 nm hp ArFi SE) 350% 325% 300% 275% 250% 225% 200% 175% 150% 125% 100% 75% 50% 25% 0% LELE (200 wph) EUV (100 wph) 45 nm ArFi SE (125 wph) 0% 20% 40% 60% 80% 100% Inspected wafer area Cross over for ML2 cluster (50 wph) with EUV NO cross over for ML2 cluster (100 wph) with EUV) 5,000 wpm 2 wafer/lot, 50% area Every 5 th th lot 2 wafer/lot, Every 5 th th lot 2 wafer/lot, Every lot 10 wafer/lot, Every lot 20 wafer/lot, Every lot 25 wafer/lot, Every lot 14

Defect Inspection Sensitivity Analysis 275 250 225 200 Effective defect inspection throughput Throughput (wph) 175 150 125 100 75 50 25 1 inspection tool supports 50 wph ML2 cluster Multiple Inspection tools required 10 inspection tools required (50 wph) ML2 cluster 100 wph ML2 cluster 50 wph 0 0% 5% 10% 15% 20% 25% 30% 35% 40% Inspected wafer area 2 wafer/lot, 50% area Every 5 th th lot 2 wafer/lot, Every 2 nd nd lot 2 wafer/lot, Every lot 5 wafer/lot, Every lot 10wafer/lot, Every lot 0.2 inspection tools required to meet 50 wph 15

ML2 COO Considerations Error rate Mask writer error rate is low but ML2 includes??,000x beams writing??,000x wafers(area) with addition of cross talk interactions. 1 mask writer = 150x150mm * 3/day = 67.5K mm 2 /day 1 wafer writer = 3.14*150 2 * 10wph * 24 = 17000K mm 2 /day 250X more area written per day. 16

Technical Requirements for Low COO Obvious Requirements Low capital cost, footprint, operating costs High throughput Other Issues Consumables Component reliability and costs Built-in quality checks to minimize inspection In situ beam and data transfer verification ability to verify data from memory actually written at tool. (proportional to inspection requirements) 17

Conclusions 100 wph cluster is approximately equivalent to conventional litho costs not including mask. Mask cost transfer Inspection costs cannot be neglected and may lead to additional inspection capacity required and thus less potential savings. Data prep can reduce effective throughput. Inspection capability needed is not currently available. Tool design must address key issues to realize low COO. 18

Acknowledgements Greg Hughes, Frank Goodwin, Dennis Fandel, Jackie Ferrelll, Jacque Georger, Chawon Koh, Bob Rulliffson, Phil Seidel, Larry Smith, Robert Wright (SEMATECH) Andrew J. Hazelton (Nikon), Will Conley (Freescale), Rob Crowell (TEL),Hiroyuki Mizuno (Toshiba), Nick Stacey (Molecular Imprints), Obert Wood (AMD) Céline Lapeyre (CEA-LETI Minatec), Gary Zhang (Rohm and Haas) 19

Backup Slides 20

Defect Inspection Sensitivity Analysis 1,000 Wafers per Mask 500% Normalized cost per wafer (to 45 nm hp ArFi SE) 450% 400% 350% 300% 250% 200% 150% 100% 50% 0% 45 nm ArFi SE (125 wph) 0% 20% 40% 60% 80% 100% Inspected wafer area LELE (200 wph) EUV (100 wph) 1,000 wpm NO cross over for ML2 cluster (50 wph) NO cross over for ML2 cluster (100 wph) 2 wafer/lot, 50% area Every 5 th th lot 2 wafer/lot, Every 5 th th lot 2 wafer/lot, Every lot 10 wafer/lot, Every lot 20 wafer/lot, Every lot 25 wafer/lot, Every lot 21

Candidate Technologies hp : LELE, Freeze, Spacer High-index : LELE EUVL ML2 SE: Single Exposure, DPL: Double Patterning LELE: Litho-Etch-Litho-Etch 22

Process Flows (schematic) Deposit hardmask(s) Coat, expose, develop ArFi SE Etch hardmask, Strip resist Coat, expose, develop DPL LELE (Line) Freeze Freeze resist Coat, expose, develop Etch hardmask Deposit spacer, Etch back spacer Remove hardmask lines Coat, expose, develop (cut mask) Spacer EUV ML2 e-beam write For all flows at end: Etch hardmask, Strip resist, Etch pattern, Strip hardmask 23

Assumptions All technologies are equally reliable and support equal yield. All technologies meet manufacturing requirements. Double Patterning Stepper overlay Mask registration Mask yield (with 30 hour write time) Yield even though with more processing steps EUVL Defect-free masks Source power, tool transmission, and resist sensitivity enable throughput Tool reliability supports uptime Mask and optics meet lifetime requirements Maskless??? 24

Calculation Procedure $ / yr General ( C fixed + Crecur ) COO = + Cmaterials + C T U Y 24 365 other $ / wafer wafer / h h / yr $ / wafer $ / wafer C fixed Depreciation, Floor space ($/yr) C materials Resist, etc. ($/wafer) C recur Utilities, Consumables, Labor ($/yr) C other Other ($/wafer) T Throughput (wafer / h) U Utilization (%) Y Yield (%) 25

Calculation Procedure Linear relationship Lithography ( C fixed + Crecur ) COO = + Cresist + T U Y 24 365 C N reticle wpr Inversely proportional: large effect C fixed Depreciation, Floor space ($/yr) C resist Resist, etc. ($/wafer) C recur T Utilities, Consumables, Labor ($/yr) Throughput (wafer / h) C reticle N wpr Reticle ($) Wafer / reticle U Y Utilization (%) Yield (%) 26

Calculation Procedure Calculate COO for each process step Litho Deposition Etch Metrology Clean Process flow # of different process steps Total COO = Σ (Process Cost #Process Steps) Only one critical layer calculated Normalized to 45 nm ArFi SE 27

Model Parameters 45 nm hp 32 nm hp ArFi SE HI ArFi EUVL LELE Freeze Spacer Tool Cost $40M $49M $49M $49M $50M $54M Throughput / wph 125 180 180 180 120 50 Tool Cost / TPT (M$/wph) 0.3 0.3 0.3 0.3 0.4 1.1 Reticle Cost $200k $584k $584k $466K $396k $178K 45 nm hp hp ArFi SE HI EUVL ML2 LELE Freeze Spacer LELE (cluster) Tool Cost $40M $52M $52M $52M $53M $89M $50M $50M Throughput / wph 125 200 200 200 135 100 50 100 Tool Cost / TPT (M$/wph) 0.3 0.3 0.3 0.3 0.4 0.9 1.0 0.5 Reticle Cost $200k $1176K $1176K $752K $1176K $252K N/A N/A Tool cost based on historical extrapolation, scales with throughput and resolution ML2 tool cost independent of throughput 28

Model Parameters Reticle cost (SEMATECH model, G. Hughes) Mask Cost = [ (Capital Cost term Write Time) + Material Cost ] / Yield 2.5 data growth per node for optical 2 data growth for EUV and Imprint Mask yield based on ITRS difficulty 45 nm: 70% EUVL: 77% (Yield targets looser) DPL: 63% (Mask registration tighter) Imprint: 54% (Defect specs much tighter, minimum feature 1 ). Utilization fixed at 83%, yield at 98% for all technologies Non-litho process costs based on SEMATECH data ~ 250 total parameters (~ 25 per litho technology) 29

Error Estimation (20,000 Wafers/Mask) 300% 300% 250% 250% 200% 200% 10 % error on parameters ~15 % error on COO (U and Y kept constant) 150% 150% 100% 100% 50% 50% 0% 45 nm ArFi SE (125) 32 nm LELE (180) 32 nm Freeze (180) 32 nm Spacer (180) 32 nm HI ArFi SE (120) 32 nm EUVL (50) LELE (200) Freeze (200) Spacer (200) HI LELE (135) EUVL (100) 0% 30

Results - 32 nm hp (20,000 w/m) 45 nm 32 nm 200% 200% DPL most expensive because of reticle, 160% deposition, and etch costs 140% DPL most expensive 180% 120% 120% 100% 100% 80% 80% 60% 60% Reticle Clean Etch Reticle Clean Etch Metrology Deposition Litho Metrology Deposition Litho 40% 40% 20% 20% 0% Technology (wph) 0% 45 nm ArFi SE (125 wph) ArFi SE (125) 32 nm LELE (180 wph) (180) 32 nm Freeze (180 wph) Freeze (180) 32 nm Spacer (180 wph) Spacer (180) 32 nm HI ArFi SE (120 wph) HI ArFi SE (120) 32 nm EUVL (50 wph) EUVL (50) High EUV litho cost because of capital cost 31

Results - hp (20,000 w/m) 45 nm 250% 200% High reticle costs for DPL Cost advantage of EUVL 150% 150% 100% 100% Reticle Clean Etch Metrology Metrology Deposition Deposition Litho Litho 50% 50% 0% 0% Technology (wph) 45 nm ArFi SE (125 wph) ArFi SE (125) LELE (200 wph) LELE (200) Freeze (200 wph) Freeze (200) Spacer (200 wph) Spacer (200) HI HI ArFi LELE (135 wph) DPL LELE (135) EUVL (100 wph) EUVL (100) 32