EE895KR. Advanced VLSI Design

Similar documents
ECE321 Electronics I

Lecture 15: Scaling & Economics

Nanoscale CMOS Design Issues

Lecture 4: Technology Scaling

Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters

Today. ESE532: System-on-a-Chip Architecture. Why Care? Message. Scaling. Why Care: Custom SoC

CMPEN 411 VLSI Digital Circuits Spring 2012

MOSFET: Introduction

EECS 427 Lecture 11: Power and Energy Reading: EECS 427 F09 Lecture Reminders

Semiconductor Memories

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

Today. ESE534: Computer Organization. Why Care? Why Care. Scaling. ITRS Roadmap

EE241 - Spring 2001 Advanced Digital Integrated Circuits

Lecture 5: CMOS Transistor Theory

Objective and Outline. Acknowledgement. Objective: Power Components. Outline: 1) Acknowledgements. Section 4: Power Components

The Wire. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

Lecture 4: CMOS Transistor Theory

Lecture 11: MOSFET Modeling

τ gd =Q/I=(CV)/I I d,sat =(µc OX /2)(W/L)(V gs -V TH ) 2 ESE534 Computer Organization Today At Issue Preclass 1 Energy and Delay Tradeoff

Nanometer Transistors and Their Models. Jan M. Rabaey

CSE493/593. Designing for Low Power

Impact of Scaling on The Effectiveness of Dynamic Power Reduction Schemes

Lecture 12: Energy and Power. James C. Hoe Department of ECE Carnegie Mellon University

The Future of CMOS. David Pulfrey. CHRONOLOGY of the FET. Lecture Lilienfeld s patent (BG FET) 1965 Commercialization (Fairchild)

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Status. Embedded System Design and Synthesis. Power and temperature Definitions. Acoustic phonons. Optic phonons

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues

CMPEN 411 VLSI Digital Circuits. Lecture 03: MOS Transistor

Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm

CMOS scaling rules Power density issues and challenges Approaches to a solution: Dimension scaling alone Scaling voltages as well

Long Channel MOS Transistors

The Inverter. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic

Digital Integrated Circuits EECS 312

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Announcements

S=0.7 [0.5x per 2 nodes] ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems. Today. Scaling ITRS Roadmap

Future trends in radiation hard electronics

Microelectronics Part 1: Main CMOS circuits design rules

EE115C Winter 2017 Digital Electronic Circuits. Lecture 6: Power Consumption

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. The Devices. July 30, Devices.

Chapter 5 MOSFET Theory for Submicron Technology

Power Consumption in CMOS CONCORDIA VLSI DESIGN LAB

MOS Transistor I-V Characteristics and Parasitics

The Devices. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

Today s lecture. EE141- Spring 2003 Lecture 4. Design Rules CMOS Inverter MOS Transistor Model

! VLSI Scaling Trends/Disciplines. ! Effects. ! Alternatives (cheating) " Try to predict where industry going

The Devices: MOS Transistors

Where Does Power Go in CMOS?

ELEN0037 Microelectronic IC Design. Prof. Dr. Michael Kraft

! Charge Leakage/Charge Sharing. " Domino Logic Design Considerations. ! Logic Comparisons. ! Memory. " Classification. " ROM Memories.

MOS Transistors. Prof. Krishna Saraswat. Department of Electrical Engineering Stanford University Stanford, CA

EECS240 Spring Today s Lecture. Lecture 2: CMOS Technology and Passive Devices. Lingkai Kong EECS. EE240 CMOS Technology

A Leakage Control System for Thermal Stability During Burn-In Test

MOS Transistor Theory

EE241 - Spring 2003 Advanced Digital Integrated Circuits

EEC 118 Lecture #2: MOSFET Structure and Basic Operation. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

Effectiveness of Reverse Body Bias for Leakage Control in Scaled Dual Vt CMOS ICs

Fig. 1 CMOS Transistor Circuits (a) Inverter Out = NOT In, (b) NOR-gate C = NOT (A or B)

CMPEN 411 VLSI Digital Circuits. Lecture 04: CMOS Inverter (static view)

P. R. Nelson 1 ECE418 - VLSI. Midterm Exam. Solutions

! Memory. " RAM Memory. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell. " Used in most commercial chips

CMOS Scaling. Two motivations to scale down. Faster transistors, both digital and analog. To pack more functionality per area. Lower the cost!

Digital Integrated Circuits A Design Perspective

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems

Integrated Circuits & Systems

Last Lecture. Power Dissipation CMOS Scaling. EECS 141 S02 Lecture 8

University of Toronto. Final Exam

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Lecture 6 Power Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010

ECE520 VLSI Design. Lecture 23: SRAM & DRAM Memories. Payman Zarkesh-Ha

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction

EE105 - Fall 2006 Microelectronic Devices and Circuits

CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS

Previously. ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems. Today. Variation Types. Fabrication

Intro To Digital Logic

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories

Lecture 16: Circuit Pitfalls

MOS Transistor Theory

Introduction to CMOS VLSI Design (E158) Lecture 20: Low Power Design

Device Models (PN Diode, MOSFET )

CMOS Cross Section. EECS240 Spring Dimensions. Today s Lecture. Why Talk About Passives? EE240 Process

Nanoelectronics. Topics

1 cover it in more detail right away, 2 indicate when it will be covered in detail, or. 3 invite you to office hours.

Digital Integrated Circuits. The Wire * Fuyuzhuo. *Thanks for Dr.Guoyong.SHI for his slides contributed for the talk. Digital IC.

Simple and accurate modeling of the 3D structural variations in FinFETs

3/10/2013. Lecture #1. How small is Nano? (A movie) What is Nanotechnology? What is Nanoelectronics? What are Emerging Devices?

EE141-Spring 2007 Digital Integrated Circuits. Administrative Stuff. Last Lecture. Wires. Interconnect Impact on Chip. The Wire

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Oldham Fall 1999

EE241 - Spring 2005 Advanced Digital Integrated Circuits. Admin. Lecture 10: Power Intro

Announcements. EE141- Fall 2002 Lecture 7. MOS Capacitances Inverter Delay Power

Lecture 34: Portable Systems Technology Background Professor Randy H. Katz Computer Science 252 Fall 1995

L16: Power Dissipation in Digital Systems. L16: Spring 2007 Introductory Digital Systems Laboratory

Moore s Law Technology Scaling and CMOS

Low Power CMOS Dr. Lynn Fuller Webpage:

CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING DIGITAL INTEGRATED CIRCUITS FALL 2002

Lecture #27. The Short Channel Effect (SCE)

CSE140L: Components and Design Techniques for Digital Systems Lab. Power Consumption in Digital Circuits. Pietro Mercati

Using MOS Models. C.K. Ken Yang UCLA Courtesy of MAH EE 215B

Lecture 2: CMOS technology. Energy-aware computing

Lecture #39. Transistor Scaling

Transcription:

EE895KR Advanced VLSI Design Kaushik Roy Purdue University Dept. of ECE kaushik@purdue.edu

Course Overview Targeted for graduate students who have already taken basic VLSI design classes Real world challenges and solutions in designing high-performance and low-power circuits Relations to VLSI Design Recent developments in digital IC design Project oriented Student participation: class presentation 2

Prerequisite MOS VLSI Design or equivalent MOS transistor Static, dynamic logic Adder Familiarity with VLSI CAD tools Magic or Cadence: LVS, DRC HSPICE Basic knowledge on solid-state physics 3

Class Materials Lecture notes: primary reference K. Roy, S. Prasad, Low Power CMOS VLSI Circuit Design, John Wiley A. Chandrakasan, W. Bowhill, F. Fox, Design of High-Performance Microprocessor Circuits, IEEE Press, 2001. Y. Taur, T. Ning, Fundamentals of Modern VLSI Devices, Cambridge University Press, 2002. J. Rabaey, A. Chandrakasan, B. Nikolic, Digital Integrated Circuits: A Design Perspective, Prentice Hall, 2nd edition, 2003. (prerequisite) 4

Class Organization One exam (40% of overall grade) Term-long project (60%) Proposal (5%) Midterm presentation (15%) background material and proposed work Final presentation (20%) Final report (20%) 5

Cadence CAD Tools Schematic editor, layout editor, DRC, LVS HSPICE, awaves Technology files TSMC 0.18μm, BPTM 70nm, Synopsys design compiler, library compiler Taurus-device, Taurus-medici Everyone should have some experience with these tools 6

Term Project Single person project Proposal (~week 3) 2 pages Topic, problem statement, research plan, references Midterm presentation (~week 7) 15 mins Literature survey Off campus students can give presentations over the phone Final presentation (early December) 20 mins Background, final results, contributions Final report (Dec. 10) Publishable quality 7

Project Topic Students pick the research topic they want to work on After the literature survey, choose a paper that you would like to evaluate yourself Has to be on digital VLSI circuit DESIGN Op-amp design alone is not acceptable Op-amp design for digital applications is acceptable Show the paper s claim using your own simulations Your contribution must be clearly shown at the end Improve previous design New circuit, modeling technique Show limitation of previous techniques Talk to the instructor in case you need help 8

How to Find a Project Topic? Conferences International Solid-State Circuits Conference (ISSCC, top conference!): slides posted on IEEExplore Symposium on VLSI Circuits (VLSIC), DAC, ICCAD Custom Integrated Circuits Conference (CICC) Journal IEEE TVLSI, IEEE TCAD, IEEE TED IEEE Journal of Solid-State Circuits (JSSC) Intel Technology Journal IBM Journal on R & D 9

How to Find a Project Topic? Funding agencies Research needs document (www.src.org) Presentation University of Michigan VLSI seminar series (www.eecs.umich.edu/vlsi_seminar/) Design automation conference (www.dac.com) Pick a recent issue in VLSI design (< 5 years) I suggest you start doing the literature survey ASAP (deadline coming up in 3 weeks) 10

Acknowledgements Prof. Chris Kim Intel circuit research labs (S. Borkar and many others) IBM Copy right 2002 J. Rabaey et al. 11

Academic Misconduct Students caught engaging in an academically dishonest practice will receive a failing grade for the course. University policy on academic dishonesty will be followed strictly. 12

Course Topics Scaling issues High performance design High performance logic family, clocking strategies, interconnects Low power design Low voltage designs, leakage control techniques, circuit/device/technology issues, low power SRAM Variation tolerant design Process compensating techniques Power delivery, interconnect, reliability Bulk and SOI 13

A physical system as a computing medium We need to create a bit first. Information processing always requires physical carrier, which are material particles. First requirement to physical realization of a bit implies creating distinguishable states within a system of such material particles. The second requirement is conditional change of state. The properties of distinguishability and conditional change of state are two fundamental properties of a material subsystem to represent information. These properties can be obtained by creating energy barriers in a material system. 14

Particle Location is an Indicator of State 1 1 0 0 1 0 15

Two-well bit a E b E b a w w 16

Barrier engineering in semiconductors By doping, it is possible to create a built-in field and energy barriers of controllable height and length within semiconductor. It allows one to achieve conditional complex electron transport between different energy states inside semiconductors that is needed in the physical realization of devices for information processing. p n n 17

Kroemer s Lemma of Proven Ignorance If in discussing a semiconductor problem, you cannot draw an Energy- Band-Diagram, this shows that you don t know what are you talking about If you can draw one, but don t, then your audience won t know what are you talking about 18

Moore s Law Intel founder and chairman Gordon Moore predicted in 1965 that the number of transistors on a chip will double every 18-24 months 19

Transistor Scaling Constant E-field scaling: voltage and dimensions (both horizontal and vertical) are scaled by the same factor k, (~1.4), such that the electrical field remains unchanged. 20

Technology Scaling Dimensions scale 0.7, V dd scales β, V t scales β I = kw T ox ( V dd V t ) scales 0.7 0.7 β = β D = CV I dd scales 0.7 β = β 0.7 (30% delay reduction) E = CV 2 dd scales 0.7β 2 21

IC Frequency & Power Trends Clock frequency improves 50% Gate delay improves ~30% Power increases 50% Power = C L V 2 f Chip Power (W) Chip Power (W) 1000 100 10 10 1 1 0.1 0.1 0.01 0.01 Power 386 Pentium Processor 486DX CPU R Pentium II Processor R Frequency 1 2 3 4 5 6 7 1.0 0.8 0.6.35.25.18 Technology Generation (µm) Active switched capacitance C L is increasing. 1000 1000 800 600 400 200 00 Frequency (M Hz) Frequency (MHz) 22

Vdd vs. Vt scaling Recently: constant e-field scaling, aka voltage scaling V CC 1V V CC & modest V T scaling Loss in gate overdrive (V CC -V T ) V CC or V T (V) VCC or V T (V) 5 4 3 2 1 0 5 4 3 2 1 0 V CC V T (V CC - V T ) Gate over drive V CC =1.8V V T =.45V 0 1 2 3 4 5 6 7 1.4 1.0 0.8 0.6.35.25.18 Technology Generation (µm) Voltage scaling is good for controlling IC s active power, but it requires aggressive V T scaling for high performance 23

Delay τ d = C L I V D DD τ d τ d = W ( ) µ C 2L = WC ox ox V C L DD V (1 V CL V υ SAT (1 V T DD T DD ) 2 ) Long Channel MOSFET Short Channel MOSFET τ = CL 05. T 05. ox 1 2. 2 ( + ) [1] V W W V 03. (. 09 TVDD) 13. n p DD [1] C. Hu, Low Power Design Methodologies, Kluwer Academic Publishers, p. 25. Performance significantly degrades when V DD approaches 3V T. 24

V T Scaling: V T and I OFF Trade-off Performance vs Leakage: V T I OFF I D (SAT) Low V T I D (SAT) I I OFF I subth W W eff 2 D ( SAT ) K2 ( VGS VT ) Leff L eff eff K 1 e ( V GS V T ) I DS I OFFL I OFFH High V T V D = V DD fixed T ox I D ( SAT ) K3Weff Coxυ SAT ( VGS VT ) V TL V TH V G As V T decreases, sub-threshold leakage increases Leakage is a barrier to voltage scaling 25

Scaling assumptions Device parameters Circuit parameters Constant Field Scaling Device and circuit parameters Device dimensions (t ox, L, W, X j ) Doping concentration (N a, N d ) Voltage (V) Electric field (E) Capacitance (C=εA/t) Current (I) Channel resistance (R ch ) Delay (CV/I) Power (VI) Switching energy (CV 2 ) Circuit density (1/A) Power density (P/A) Factor 1/k k 1/k 1 1/k 1/k 1 1/k 1/k 2 1/k 3 k 2 1 26

Scaling in the Vertical Dimension Transistor V t rolls off as the channel length is reduced Shallow junction depth reduces V t roll-off However, sheet resistance increases 27

Scaling in the Vertical Dimension Vertical dimension scales less than horizontal Aggravates short channel effect (V t roll-off) 28

Constant Voltage Scaling Scaling assumptions Device parameters Circuit parameters Device and circuit parameters Device dimensions (t ox, L, W, X j ) Doping concentration (N a, N d ) Voltage (V) Electric field (E) Capacitance (C=εA/t) Current (I) Channel resistance (R ch ) Delay (CV/I) Power (VI) Switching energy (CV 2 ) Circuit density (1/A) Power density (P/A) Factor 1/k k 1 k 1/k k 1/k 1/k 2 k 1/k k 2 k 3 29

Constant Voltage Scaling More aggressive scaling than constant field Limitations Reliability problems due to high field Power density increases too fast Both constant field and constant voltage scaling have been followed in practice Field and power density has gone up as a byproduct of high performance, but till now designers are able to handle the problems 30

ITRS Roadmap International Technology Roadmap for Semiconductors 2002 projection (http://public.itrs.net/) 31

Transistor Scaling 90nm is in production, 65nm in research phase New technology generation introduced every 2-3 years 32

Cost per Transistor You can buy 10M transistors for a buck They even throw in the interconnect and package for free 33

Transistors Shipped Per Year Today, there are about 100 transistors for every ant - Gordon Moore, ISSCC 04 34

Transistors per Chip 1.7B transistors in Montecito (next generation Itanium) Most of the devices used for on-die cache memory 35

Moore s Wrong Prediction 36

Chip Frequency 30% higher frequency every new generation 37

Die Size ~15% larger die every new generation This means more than 2X increase in transistors per chip 38

Supply Voltage Scaling Supply voltage is reduced for active power control P active C V 2 dd f 39

4 Decades of Transistor Scaling: Itanium 2 Processor 40

Power Density Power density (W/cm 2 ) Year High-end microprocessors: Packaging, cooling Mobile/handheld applications: Short battery life 41

Active and Leakage Power Power (W) Year CL delay V Ileak exp( 1 t Vdd Transistors are becoming dimmers V mkt t / q ) 42

Leakage Power Crawling Up in Itanium 2 Transistor leakage is perhaps the biggest problem 43

Leakage Power versus Temp. 56% 49% Power (Watts) 70 60 50 40 30 20 10 0.18µ, 15mm die, 1.4V Leakage Active 0% 0% 1% 1% 2% 3% 5% 7% 9% Power (Watts) 70 60 50 40 30 20 10 6% 9% 41% 33% 26% 19% 14% 0.1µ, 15mm die, 0.7V Leakage Active 30 40 50 60 70 80 90 - Temp (C) 100 110 30 40 50 60 70 80 90 - Temp (C) 100 110 Leakage power is problematic in active mode for high performance microprocessors 44

Thermal Runaway Increased heating Higher leakage Higher power dissipation Increased static current Destructive positive feedback mechanism Leakage increases exponentially with temperature May destroy the test socket thermal sensors required 45

Gate Oxide Thickness Electrical t ox > Physical t ox Due to gate depletion and carrier quantization in the channel 46

Gate Tunneling Leakage MOSFET no longer have infinite input resistance Impacts both power and functionality of circuits 47

Process Variation in Microprocessors Fast chips burn too much power Slow chips cannot meet the frequency requirement 48

Process Variation in Transistors Normalized I ON 1.4 1.2 1.0 0.8 0.6 0.4 NMOS PMOS 2X 100X 150nm, 110 C 0.01 0.1 1 10 100 Normalized I OFF More than 2X variation in I on, 100X variation I off Within-dies, die-to-die, lot-to-lot 49

Sources of Process Variation Intrinsic parameter variation (static) - Channel length, random dopant fluctuation Environmental variation (dynamic) - Temperature, supply variations 50

Sub-wavelength Lithography 51

Line Edge/Width Roughness I off and I dsat impacted by LER and LWR 52

Random Dopant Fluctuation V t variation caused by non-uniform channel dopant distribution 53

Supply Voltage Integrity IR noise due to large current consumption Ldi/dt noise due to new power reduction techniques (clock gating, power gating, body biasing) with power down mode 54

Supply Voltage Integrity Degrades circuit performance Supply voltage overshoot causes reliability issues Power wasted by parasitic resistance causes self-heating V dd fluctuation should be less than 10% Courtesy IBM 55

Productivity Gap Design complexity surpasses manpower Effective CAD tools, memory dominated chips 56

Lithography Tool Cost What will end Moore s law, economics or physics? 57

Interconnect Scaling Global interconnects get longer due to larger die size Wire scaling increases R, L and C Example: local vs. global interconnect delay 58

Interconnect Delay Problem 1997 SIA technology roadmap Local interconnect has sped up (shorter wires) Global interconnect has slowed down (RC doesn t scale) 59

Interconnect Metal Layers M6 M5 M4 M3 M2 M1 Local wires have high density to accommodate the increasing number of devices Global wires have low RC (tall, wide, thick, scarce wires) 60

Interconnect distribution scaling trends RC/µm scaling trend is only one side of the story... Pentium Pro (R) Pentium(R) II Pentium (MMX) Pentium (R) Pentium (R) II Source: Intel No of nets (Log Scale) 10 100 1,000 10,000 100,000 Length (u)

Power Delivery & Distribution Challenges High-end microprocessors approaching > 10 GHz How to deliver and distribute ~100A at < 1V for < $20! On-die power density >>> hot-plate power density crossover happened back in 0.6µm technology! di/dt noise only worsening with scaling: drivers are one of the sources. 1.E+08 1.E+07 Lead Processors First compaction 70 60 1.E+06 1.E+05 1.E+04 1.E+03 1.E+02 1.E+01 1.E+00 P3 P6 P5 P4 1.5 1 0.8 0.6 0.35 di/dt in AU 0.25 0.18 0.13 0.09 0.06 Second compaction Watts/cm2 50 40 30 20 10 0 62

Example multi-layer system M7 2.00µm M6 2.00µm M5 1.6µm Al 1.00 x 1.80µm 1.00 x 1.80µm 0.80 x 1.6µm M4 1.6µm M3 0.64µm M2 0.64µm M1 Poly 0.50µm Substrate 0.80 x 1.6µm 0.32 x 0.64µm 0.32 x 0.64µm 0.25 x 0.48µm K. Soumyanath et. al. [2]

Cross Talk Noise As wires are brought closer with scaling, capacitive coupling becomes significant Adjacent wires on same layer have stronger coupling 64

Cross Talk Noise Multiple aggressors multiple victims possible Cross talk noise can cause logic faults in dynamic circuits 65

Cross Talk and Delay Capacitive cross talk can affect delay If aggressor(s) switch in opposite direction, effective coupling capacitance is doubled On the other hand, if aggressor(s) switch in the same direction, Cc is eliminated Significant difference in RC delay depending on adjacent switching activity 66

Soft Error In Storage Nodes Logic 1 Logic 0 V induced Soft errors are caused by Alpha particles from package materials Cosmic rays from outer space 67

Soft Error In Storage Nodes Error correction code Shielding SOI Radiation-hardened cell 68

More Roadblocks Memory stability Long term reliability Mixed signal design issues Mask cost Testing multi-ghz processors Skeptics: Do we need a faster computer? Eventually, it all boils down to economics 69

Summary Digital IC Business is Unique Things Get Better Every Few Years Companies Have to Stay on Moore s Law Curve to Survive Benefits of Transistor Scaling Higher Frequencies of Operation Massive Functional Units, Increasing On-Die Memory Cost/MIPS Going Down Downside of Transistor Scaling Power (Dynamic and Static) Process Variation Design/Manufacturing Cost. 70