Chemical Sensing and Sensor-based Metrology Using Mass Spectrometry in Multi-Component Reaction Systems

Similar documents
In-Situ FTIR Spectroscopy and Metrology of a Tungsten CVD Process

Real-Time Chemical Sensing for Advanced Process Control in ALD

Real-time growth rate metrology for a tungsten chemical vapor deposition process by acoustic sensing

In-situ Sensing Using Mass Spectrometry and its Use for Run-To-Run Control on a W-CVD Cluster Tool

Mass Spectrometry for Equipment, Process and Wafer State Sensing and Control

In situ mass spectrometry in a 10 Torr W chemical vapor deposition process for film thickness metrology and real-time advanced process control

Challenges for Real-Time Control in Reactive Semiconductor Manufacturing Process Environments

Process sensing and metrology in gate oxide growth by rapid thermal chemical vapor deposition from SiH 4 and N 2 O

Run to run control in tungsten chemical vapor deposition using H 2 ÕWF 6 at low pressures

Real-time, in situ film thickness metrology in a 10 Torr W chemical vapor deposition process using an acoustic sensor

Dynamic Equipment and Process Simulation for Atomic Layer Deposition Technology

Real-time sensing and metrology for atomic layer deposition processes and manufacturing

I. INTRODUCTION. 127 J. Vac. Sci. Technol. B 15(1), Jan/Feb X/97/15(1)/127/6/$ American Vacuum Society 127

I. INTRODUCTION. Current address: Intel Corporation, electronic mail:

Spatially Controllable CVD: The Programmable Reactor Concept

Chemical Vapor Deposition (CVD)

Real-time observation and optimization of tungsten atomic layer deposition process cycle

A New Approach to Spatially Controllable CVD

Vacuum Technology and film growth. Diffusion Resistor

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6

Vacuum Pumps. Two general classes exist: Gas transfer physical removal of matter. Mechanical, diffusion, turbomolecular

ABSTRACT DEVELOPMENT OF A SPATIALLY CONTROLLABLE CHEMICAL VAPOR DEPOSITION SYSTEM. Jae-Ouk Choo, Doctor of Philosophy, 2005

Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice

Gas utilization in remote plasma cleaning and stripping applications

Simulator Development and Prototype Evaluation for a Spatially Controllable Chemical Vapor Deposition System

Brigham Young University. Infiltration of CNT-M Microstructures using CVD and ALD Presented by: Collin Brown, Jason Kyle Anderson

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL

Silicon etching in NF 3 /O 2 remote microwave plasmas

CVD: General considerations.

I R PH.D. THESIS. Real-time in-situ chemical sensing, sensor-based film thickness metrology, and process control in W CVD process

Modeling of Ion Energy Distribution Using Time-Series Neural Network

An XML-Based Approach to Integrating Semiconductor Process Information

Effects of cross field diffusion in a low pressure high density oxygen/silane plasma

Extrel Application Note

MICROCHIP MANUFACTURING by S. Wolf

Spatially controllable chemical vapor deposition

In situ Studies of ALD Processes & Reaction Mechanisms

Thin Film Deposition. Reading Assignments: Plummer, Chap 9.1~9.4

Fundamental insight into ALD processing by in-

Calibration of capacitance diaphragm gauges with 1333 Pa full scale by direct comparison to resonant silicon gauge and static expansion system

VACUUM PUMPING METHODS

Defect management and control. Tsuyoshi Moriya, PhD Senior Manager Tokyo Electron Limited

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

Physical Vapor Deposition

Film Deposition Part 1

EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS *

STRONG DOUBLE LAYER STRUCTURE IN THERMIONIC VACUUM ARC PLASMA *

Chemical Vapor Deposition *

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition

Design and Use of Portable and Compact Sampling Systems for Mass Spectrometers. Kenneth Wright INFICON Inc, East Syracuse NY

Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods

SEMICONDUCTOR GROWTH TECHNIQUES. Introduction to growth techniques (bulk, epitaxy) Basic concepts in epitaxy (MBE, MOCVD)

( KS A ) (1) , vapour, vapor (USA) , saturation vapour pressure. , standard reference conditions for gases. , degree of saturation

KEYWORDS plasma enhanced chemical vapor deposition, dusty plasma, particulate contamination, film growth rate

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)

Plasma Enhanced Chemical Vapor Deposition (PECVD) of Silicon Dioxide (SiO2) Using Oxford Instruments System 100 PECVD

Technology Improvement and Fault TCP Etch Chamber and a Dual Frequency Oxide Etch Chamber

JARA FIT Ferienprakticum Nanoelektronik Experiment: Resonant tunneling in quantum structures

Hisayoshi Oshima *, Yoshinobu Suzuki, Tomohiro Shimazu, and Shigeo Maruyama 1

Deuterium and fluorine radical reaction kinetics on photoresist*

Agenda. 1. Atomic Layer Deposition Technology

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials

THIN FILM GROWTH by PECVD

Determination of Minimum Detectable Partial Pressure (MDPP) of QMS and its Uncertainty

Lecture 4. Ultrahigh Vacuum Science and Technology

Effect of wall charging on an oxygen plasma created in a helicon diffusion reactor used for silica deposition

RESEARCH ON BENZENE VAPOR DETECTION USING POROUS SILICON

RF Reactive Magnetron Sputter Depostion of Silicon Sub-oxides

Study of DC Cylindrical Magnetron by Langmuir Probe

ABSTRACT. This thesis describes the development of a real-time control system for depositing

Conductance measurement of a conical tube and calculation of the pressure distribution

Lecture 3 Vacuum Science and Technology

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Chemistry Joke. Once you ve seen 6.02 x You ve seen a mole!

Replication Of MHI Transmutation Experiment By D 2 Gas Permeation Through Pd Complex

Ahybrid approach combining experimental and simulation studies was used for model development. Several sets of experiments were conducted to investiga

- A spark is passed through the Argon in the presence of the RF field of the coil to initiate the plasma

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey

RGA modelling and simulation to include pressure dependence in the ion source

Previous Lecture. Electron beam lithoghraphy e - Electrons are generated in vacuum. Electron beams propagate in vacuum

SPUTTER-WIND HEATING IN IONIZED METAL PVD+

Competition between Al 2 O 3 Atomic Layer Etching and AlF 3 Atomic Layer Deposition Using Sequential Exposures of Trimethylaluminum and HF

Molecular beam mass spectrometry studies of the gas-phase chemistry occurring during microwave plasma assisted chemical vapour deposition of diamond

Surface and Optical Properties of Zinc Oxide Doped With Fluor Synthesized By Magnetron Sputtering: Applications in Transparent Conductive Oxides (TCO)

Characterization of the operation of RITs with iodine

Atomic layer deposition of titanium nitride

LOW-TEMPERATURE Si (111) HOMOEPITAXY AND DOPING MEDIATED BY A MONOLAYER OF Pb

Vocabulary. Pressure Absolute zero Charles Law Boyle s Law (take a moment to look up and record definitions in your notes)

Photoresist Profile. Undercut: negative slope, common for negative resist; oxygen diffusion prohibits cross-linking; good for lift-off.

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

Validation of a new flow-reactor for the study of secondary organic aerosol (SOA) formation

Vacuum techniques (down to 1 K)

Generation of vacuum (pumps): Vacuum (pressure) measurements:

Cleaning of Silicon-Containing Carbon Contamination

ARGUS VI. Static Vacuum Mass Spectrometer. Static Vacuum ARGUS VI. Multicollection Low Volume Precision

Lecture 1: Vapour Growth Techniques

Transcription:

Oct 25-29, 1999, AVS National Symposium, Seattle Chemical Sensing and Sensor-based Metrology Using Mass Spectrometry in Multi-Component Reaction Systems Y. Xu, T. Gougousi, N. Gupta, J. N. Kidder, Jr., and G. W. Rubloff

Why Real-Time Chemical Sensing? Provides a wealth of useful information regarding the time evolution of the process and reaction mechanism Provides the basis to achieve real-time thin film thickness metrology, and process control. Enables simultaneous fault management. 2

Chemical Sensing Issues in Multi-component Chemical Process Challenges in chemical sensing Sensor choice (Mass Spectrometer, FTIR, Acoustic, etc) Sensor placement (downstream or direct sampling) Selection of appropriate species for metrology development. Wall Chemistry Reactions Reagent condensation Sensor Chemistry Reactions in the ionizer region of the mass spectrometer 3

Multi-Component Chemical Process: W CVD from / Selective W CVD process Dynamic equipment and process* simulation Sensor study Chemical sensing and film thickness metrology in W CVD process WF + H W + 6 HF 6 3 2 * Based on Hsieh s model J. J. Hsieh, "Kinetic model for the chemical vapor deposition of tungsten in the silane reduction process", J. Vac. Sci. Technol. A 11 (6), pp. 3040-46, (Nov/Dec 1993). 4

Multi-Component Chemical Process: W CVD from / Chemical Sensing using mass spectrometry in W CVD on Ulvac ERA-100 P=0.5 Torr BP 300µ orifice 60µ orifice 30µ orifice P=10-6 Torr QMS Pressure control valve Reactor exhaust Baratron Ion gauge to drag stage Turbo pump 50 l/s WF + H W + 6 HF 6 3 2 5

Process Issues for Metrology Our Process Ulvac ERA-100 selective W CVD Selective process at pressure less than 1 Torr Initially, less than 1% reactant conversion rate ( and ) Simulations indicated better reactant utilization at low flow rates: Industry standard NOVELLUS, AMAT blanket W CVD Blanket process at pressure of about 40 Torr About 50% reactant conversion rate Conversion rate (%) 60 50 40 30 20 10 0 : 200 sccm Temp. :400 0 C Pres. : 0.5 Torr Simulation results Low / ratio required for conformal film deposition 2 4 6 8 10 12 14 16 18 20 22 / flow rate ratio 5X flow rate reduction Conversion rate (%) 60 50 40 30 20 10 0 : 40 sccm Temp. :400 0 C Pres. : 0.5 Torr Simulation results Low / ratio required for conformal film deposition 2 4 6 8 10 12 14 16 18 20 22 / flow rate ratio 6

Selection of Species for Mass Spectrometry-based Metrology Product generation : HF generation Significant background due to reaction in ionizer of the mass spectrometry Reactant depletion : depletion and/or depletion Significant background due to low conversion rate WF + H W + 6 HF 6 3 2 7

Multiple Reaction Regions in WCVD process from / Process reaction Sensor (ionizer)reactions Example + 3 W + 6HF 6HF + e 6HF + + 2e + e WF 5+ + F +2e + e + + 2e F + H + 2 HF + + H Hot wafer in Reactor WF H 6 2 Mass Spec. HF HF Cold wafer in Reactor WF H 6 2 Mass Spec. HF 8

Cold wafer cycle to calibrate background and sensor drift H2 40sccm 40sccm 40sccm WF6 0sccm 10sccm 0sccm Pressure 0.5Torr 0.5Torr 0.5Torr Temperature. Ion current for (Amp) 8.00E-011 6.00E-011 4.00E-011 2.00E-011 HF 40sccm 200sccm 10sccm 0sccm 0.5Torr 0.5Torr Step 1 Step 2 Step 3 Step 4 Step 5 flush Cold wafer cycle Heating Hot wafer cycle depletion HF generation Cooling 1.20E-011 9.00E-012 6.00E-012 3.00E-012 Ion current for HF(Amp) 0.00E+000 0.00E+000 0 400 800 1200 1600 Time(sec.) 9

Mass Spectrometry-based deposition rate metrology 2.5E-10 Conditioning cycle 1st wafer 2nd wafer 3rd wafer 1.2E-11 Recipe Temp.:400 0 C Pres. :0.5 Torr : 40sccm : 10sccm Ion current for (Amp) 2E-10 1.5E-10 1E-10 HF Cold Hot Cold Hot Cold C Hot D 9E-12 6E-12 3E-12 Ion current for HF(Amp) 5E-11 A B 0 0 1000 2000 3000 4000 5000 6000 Time(Sec.) 0 Film Thickness B-A A * Dep. time Film Thickness C-D C Dep. * time 10

Mass Spectrometry-based deposition rate metrology Metrology from HF Signal 3000 W film thickness (A) 2500 2000 1500 1000 Thickness=537.6(+/- 20.1)* S (HF) +315.6(+/- 46.6) R 2 =0.95 SD=127A 500 0 Initial nucleation of W seed layer by Si reduction of 0 1 2 3 4 HF signal * Dep. Time (Min.) 11

Mass Spectrometry-based deposition rate metrology Metrology from Signal 3000 W film thickness (A) 2500 2000 1500 1000 Thickness= 5719(+/- 477)S H2 +471(+/- 90) R 2 =0.79 SD=260A 500 Initial nucleation of W seed layer by Si reduction of 0 0.00 0.05 0.10 0.15 0.20 0.25 0.30 0.35 0.40 signal * Dep. Time (Min.) 12

Conclusions and Acknowledgment In multi-component CVD process, different species could be chosen for metrology. Reactant depletion (, ) Product generation (HF) Mass spectrometry-based thickness metrology has been demonstrated About 6-7% accuracy from HF signal Expected to be better for higher conversion rates blanket W CVD process (industry standard) Cold wafer cycle implemented for metrology Calibrate sensor drift, measure background In-situ sensor calibration system under development-results promising Conditioning cycle before actual deposition process reduced the wall effects. Acknowledgments: NIST/Dr. Charles Tilford Leybold Inficon/Dr. Bob Ellefson, Dr. Louis Frees NSF SRC/TI 13