Real-Time Chemical Sensing for Advanced Process Control in ALD

Similar documents
Dynamic Equipment and Process Simulation for Atomic Layer Deposition Technology

Chemical Sensing and Sensor-based Metrology Using Mass Spectrometry in Multi-Component Reaction Systems

Real-time sensing and metrology for atomic layer deposition processes and manufacturing

A New Approach to Spatially Controllable CVD

In-Situ FTIR Spectroscopy and Metrology of a Tungsten CVD Process

Spatially Controllable CVD: The Programmable Reactor Concept

In situ mass spectrometry in a 10 Torr W chemical vapor deposition process for film thickness metrology and real-time advanced process control

Mass Spectrometry for Equipment, Process and Wafer State Sensing and Control

Real-time observation and optimization of tungsten atomic layer deposition process cycle

Simulator Development and Prototype Evaluation for a Spatially Controllable Chemical Vapor Deposition System

Real-time, in situ film thickness metrology in a 10 Torr W chemical vapor deposition process using an acoustic sensor

Challenges for Real-Time Control in Reactive Semiconductor Manufacturing Process Environments

Real-time growth rate metrology for a tungsten chemical vapor deposition process by acoustic sensing

Process sensing and metrology in gate oxide growth by rapid thermal chemical vapor deposition from SiH 4 and N 2 O

I. INTRODUCTION. 127 J. Vac. Sci. Technol. B 15(1), Jan/Feb X/97/15(1)/127/6/$ American Vacuum Society 127

In situ Studies of ALD Processes & Reaction Mechanisms

Chemical Vapor Deposition (CVD)

I. INTRODUCTION. Current address: Intel Corporation, electronic mail:

Fundamental insight into ALD processing by in-

In-situ Sensing Using Mass Spectrometry and its Use for Run-To-Run Control on a W-CVD Cluster Tool

ABSTRACT DEVELOPMENT OF A SPATIALLY CONTROLLABLE CHEMICAL VAPOR DEPOSITION SYSTEM. Jae-Ouk Choo, Doctor of Philosophy, 2005

This is an author-deposited version published in : Eprints ID : 19671

EE C245 ME C218 Introduction to MEMS Design Fall 2007

Supporting Information

Run to run control in tungsten chemical vapor deposition using H 2 ÕWF 6 at low pressures

Step Coverage by ALD Films: Theory and Examples

An XML-Based Approach to Integrating Semiconductor Process Information

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials

In-situ Monitoring of Thin-Film Formation Processes by Spectroscopic Ellipsometry

CVD: General considerations.

Déposition séléctive le rêve reviens

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6

Alternative deposition solution for cost reduction of TSV integration

Ballistic transport and reaction modeling of atomic layer deposition manufacturing processes

Micro Chemical Vapor Deposition System: Design and Verification

I R PH.D. THESIS. Real-time in-situ chemical sensing, sensor-based film thickness metrology, and process control in W CVD process

Spatially controllable chemical vapor deposition

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Plasma Enhanced Chemical Vapor Deposition (PECVD) of Silicon Dioxide (SiO2) Using Oxford Instruments System 100 PECVD

Surface Chemistry and Reaction Dynamics of Electron Beam Induced Deposition Processes

Layer-modulated synthesis of uniform tungsten disulfide nanosheet using gas-phase precursors.

ALD & ALE Tutorial Speakers and Schedule

Brigham Young University. Infiltration of CNT-M Microstructures using CVD and ALD Presented by: Collin Brown, Jason Kyle Anderson

Agenda. 1. Atomic Layer Deposition Technology

Atomic Layer Deposition: An Overview

Reaction Mechanism of Area-Selective Atomic

Size-selected Metal Cluster Deposition on Oxide Surfaces: Impact Dynamics and Supported Cluster Chemistry

(Near-) Ambient pressure x-ray photoelectron spectroscopy

Subnanometre platinum clusters as highly active and selective catalysts for the oxidative dehydrogenation of propane

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

Hiden HPR60 Molecular Beam Mass Spectrometer System

Outline. Chemical Microsystems Applications. Microfluidic Component Examples Chemical Microsystems for Analysis Chemical Microsystems for Synthesis

On the nucleation of Pt & Pd during atomic layer deposition & temperature programmed desorption for thin film analysis

Low temperature atomic layer deposition of cobalt oxide as an effective catalyst for photoelectrochemical water splitting devices

TiO2/sapphire Beam Splitter for High-order Harmonics

ALD Nucleation and Area-Selective Deposition

Scaling up Chemical Vapor Deposition Graphene to 300 mm Si substrates

VACUUM TECHNOLOGIES NEEDED FOR 3D DEVICE PROCESSING

Gas utilization in remote plasma cleaning and stripping applications

EE C245 ME C218 Introduction to MEMS Design Fall 2007

Catalysis CAPABILITIES

Supplementary Material for

SIMPLE MCP TRANSPORT MODEL

Film Deposition Part 1

Vapor Phase Doping with N-type Dopant into Silicon by Atmospheric Pressure Chemical Vapor Deposition

Plasma-assisted atomic layer deposition of Al2O3 studied by infrared transmission spectroscopy

Dynamic Modeling for the Design and Cyclic Operation of an Atomic Layer Deposition (ALD) Reactor

Secondary ion mass spectrometry (SIMS)

Hiden Isochema. Gravimetric Gas & Vapor Sorption Analyzers. Hiden Isochema IGA Series. Advancing Sorption Analysis

Atomic layer deposition of titanium nitride

Supporting Information

Effects of methanol on crystallization of water in the deeply super cooled region

Supporting Information

Supplementary Information. Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction

Importance of in situ Monitoring in MOCVD Process and Future Prospects

In-situ Ar Plasma Cleaning of Samples Prior to Surface Analysis

PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS

Hotwire-assisted Atomic Layer Deposition of Pure Metals and Metal Nitrides

Water clustering on nanostructured iron oxide films

THERMAL PROFILE EVALUATION OF A SILICON WAFER IN THE APPARATUS FOR RAPID THERMAL CHEMICAL VAPOUR DEPOSITION

Short course on Atomic Layer Deposition

Step coverage modeling of thin films in atomic layer deposition

Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods

FEASIBILITY OF IN SITU TXRF

Document Version Publisher s PDF, also known as Version of Record (includes final page, issue and volume numbers)

Investigation of CNT Growth Regimes in a Tubular CVD Reactor Considering Growth Temperature

Vacuum Technology and film growth. Diffusion Resistor

Lecture 1: Vapour Growth Techniques

Defect management and control. Tsuyoshi Moriya, PhD Senior Manager Tokyo Electron Limited

Extrel Application Note

Atomic Layer Deposition for Continuous Roll-to-Roll Processing

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

Développement de micro-préconcentrateurs pour l'analyse de traces de gaz et explosifs.

ETCHING Chapter 10. Mask. Photoresist

Supporting Data. The University of Texas at Dallas, 800 West Campbell Road, Richardson, Texas 75080, United

SCALE-UP OF THE BARIUM TITANATE ATOMIC LAYER DEPOSITION PROCESS ONTO 200 MM WAFER

Multiscale Simulation of Atomic Layer Deposition in a Nanoporous Material

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL

Network Model Analysis of Poly-silicon Film Deposition on Wafers in CVD Reactor

Transcription:

Real-Time Chemical Sensing for Advanced Process Control in ALD Gary W. Rubloff 1, Laurent Henn-Lecordier 2, and Wei Lei 3 University of Maryland 1 Director, Maryland Center for Integrated Nano Science and Engineering, and Minta Martin Professor of Engineering, Department of Materials Science & Engineering, Institute for Systems Research, and Institute for Research in Electronics and Applied Physics, www.isr.umd.edu/gwrubloff, rubloff@umd.edu 2 Department of Materials Science & Engineering and Institute for Systems Research 3 Department of Materials Science & Engineering and Institute for Systems Research. Current address: Novellus Systems

Delivering Value from ALD Research Real-Time Chemical Sensing ALD Process Dynamics ALD Metrology Advanced Process Control Development Manufacturing 2

Atomic Layer Deposition a simple picture an ideal process Initial surface Metal precursor exposure Purge BUT Nucleation & surface condition dependence OH OH OH SUBSTRATE L L L M L L L M L L L L M L OH OH O LH L L L L M L L M L L M L O O O Temperature-dependent growth Dose dependencies Monolayer growth OH M OH OH O M O M O O O Purge H 2 O LH H 2 O H 2 O OH OH L OH M O M L L M L O O O Reactant B exposure Incomplete layer adsorption & reaction Multilayer adsorption & reaction 3

Moving ALD to Manufacturing Nucleation & surface condition dependence Temperature-dependent growth Dose dependencies Incomplete layer adsorption & reaction Multilayer adsorption & reaction Advanced process control (APC) required for manufacturability Course correction Fault management Sensors needed for APC Process chemistry Wafer state Sensors needed for process learning Chemical complexity Process metrology 4

Real-Time Chemical Sensing Real-time mass spectrometry (MS) for wafer-scale ALD process Direct observation of process dynamics Integrated MS signals cycleby-cycle Integrated MS signals through entire run Comparison with ex-situ film characterization Process recipe optimization Nucleation & growth kinetics Thickness metrology & control Process-film properties correlation & prediction 5

Embedded ALD Mini-Reactor Z-axis pneumatic actuator UHV chamber 10-5 torr Moveable cap 5 torr 100 mm wafer Substrate heater Differentially pumped MS 35 µm orifice Gas Outlet Gas Inlet ALD mini- reactor 6

ALD System and Process Sensing 300 amu CIS mass-spec 100 mm wafer, substrate-heated UHV ALD reactor 35 µm orifice 5 Torr Load-lock UHV-ALD 1.2x10-10 1.0x10-10 Differential pumping Gas Outlet Gas Inlet Intensity (A) 8.0x10-11 6.0x10-11 4.0x10-11 MS in-situ sensing (10 ALD cycles) Precursors: WF 6, SiH 4 SiH 4 exposure H 2 product WF 6 exposure SiF 4 product MS response time: < 1 sec 2.0x10-11 13:59:56 14:01:22 14:02:48 14:04:14 14:05:40 14:07:06 14:08:32 Time 7

ALD Process Dynamics 1.2x10-10 1.0x10-10 10 CYCLES - 325 8.0x10-11 Intensity (A) 6.0x10-11 4.0x10-11 2.0x10-11 13:59:56 14:01:22 14:02:48 14:04:14 14:05:40 14:07:06 14:08:32 Time 6.0x10-11 5.0x10-11 SiH 4 exposure H 2 1 CYCLE - 325 Purge WF 6 exposure Purge Precursors: WF 6, SiH 4 SiH 4 exposure H 2 product WF 6 exposure SiF 4 product MS response time: < 1 sec Intensity (A) 4.0x10-11 3.0x10-11 2.0x10-11 1.0x10-11 SiF 4 SiH 4 SiF 4 0 10 20 30 40 50 60 70 Time (Sec) 8

Optimizing ALD Process Recipe Intensity (A) Intensity (A) 7.0x10-11 6.0x10-11 5.0x10-11 4.0x10-11 3.0x10-11 2.0x10-11 1.0x10-11 6.0x10-11 5.0x10-11 4.0x10-11 3.0x10-11 2.0x10-11 SiH 4 exposure SiH 4 0 10 20 30 40 50 60 70 H 2 SiH 4 Purge Time (Sec) WF 6 exposure SiF 4 Purge Reference 60 C Process 325 C Surface reaction time Intensity (A) Reactants 6.0x10-11 4.0x10-11 2.0x10-11 H 2 H 2 SiH 4 SiF 4 WF 6 By-products Process recipe optimization SiH 4 Purge WF 6 SiF 4 Purge 1.0x10-11 0 10 20 30 40 50 60 70 Time (Sec) 0 10 20 30 40 50 Time (s) 9

Validation by Ex-Situ, Post-Process Process Characterization 2.0 Gas flow direction Exposure (s) SiH 4 / WF 6 Gas Inlet Gas Outlet Film thickness (μm) 1.5 1.0 0.5 Precursor depletion 15 / 20 s 10 / 8 s * 8 / 6 s 5 / 4 s Ex-situ 4 point probe measurements 0 20 40 60 80 100 Position Across the Wafer (mm) 10

ALD Metrology Real-time metrology: integrate product signals over each pulse 1.2x10-10 Identify pulse-to-pulse trends nucleation kinetics 1.0x10-10 Intensity (A) 8.0x10-11 6.0x10-11 4.0x10-11 Integrate signal over all pulses total deposition thickness 2.0x10-11 13:59:56 14:01:22 14:02:48 14:04:14 14:05:40 14:07:06 14:08:32 Time 10 CYCLES - 325 11

Real-Time Growth Kinetics 4.0x10-10 Nucleation regime Linear growth regime 1.2x10-9 Nucleation regime Linear growth regime Integrated SiF 4 Signal Per Cycle 3.5x10-10 3.0x10-10 2.5x10-10 2.0x10-10 1.5x10-10 1.0x10-10 5.0x10-11 SiF 4 by-product Integrated H 2 MS Signal Per Cycle 1.0x10-9 8.0x10-10 6.0x10-10 4.0x10-10 2.0x10-10 H 2 by-product 0 10 20 30 40 50 60 70 80 Cycle Number 0 10 20 30 40 50 60 70 80 Cycle Number Precursors: WF 6, SiH 4 SiH 4 exposure H 2 product WF 6 exposure SiF 4 product MS response time: < 1 sec 12

Validation by Ex-Situ, Post-Process Process Characterization Integrated H 2 QMS Signal Per Cycle (A*Sec) Integrated MS signals over 1 ALD run 1.2x10-9 1.0x10-9 8.0x10-10 6.0x10-10 4.0x10-10 2.0x10-10 4-pt probe thicknesses over 6 ALD runs 0 0 10 20 30 40 50 60 70 80 90 ALD cycle number 100 80 60 40 20 Film Thickness (nm) 13

Initial Surface Condition Nucleation region for HF-last treated surface Nucleation region for H 2 O-last treated surface Integrated SiF 4 MS Signal Per Cycle 6.4x10-10 5.6x10-10 4.8x10-10 4.0x10-10 3.2x10-10 2.4x10-10 1.6x10-10 8.0x10-11 HF Treated Surface H 2 O Treated Surface 0 10 20 30 40 50 60 70 80 Cycle Number 14

Temperature Dependence Nucleation and growth both increase with temperature Integrated H 2 Mass Spec Signal Per Cycle 2.5x10-9 2.0x10-9 1.5x10-9 1.0x10-9 5.0x10-10 325 C 250 C 175 C H 2 during SiH 4 half cycle 0 10 20 30 40 50 Cycle Number 15

Temperature Dependence Ex-situ SIMS results Intensity (A) 4.0x10-10 3.2x10-10 2.4x10-10 1.6x10-10 8.0x10-11 SiH 4 Exposure H 2 signal 175 Purge 225 275 325 0 20 40 60 80 100 120 Scan Number WF 6 Exposure SiF 4 signal Purge CsW Counts Integrated SiF 4 Signal Per Cycle 4x10 4 3x10 4 2x10 4 1x10 4 3*10-10 2*10-10 10-10 0 0 20 40 60 80 100 MS Depth (nm) 1.6 1.8 2.0 2.2 1000/T (K -1 ) SIMS 175 225 275 325 10 Growth Rate (A/Cycle) 1 16

Real-time Wafer State Metrology W Film Thickness (nm) 35 30 25 20 15 10 5 5 consecutive wafers (225 C) 1st wfr (175 C) (175 C) (325 C) (275 C) 8.0x10-9 1.6x10-8 2.4x10-8 3.2x10-8 4.0x10-8 Sum of Integrated SiF 4 Signal W Film Thickness (nm) 100 80 60 (275 C) 40 20 1st wfr (275 C) 0 2.0x10-8 4.0x10-8 6.0x10-8 Sum of Integrated SiF 4 Signal (325 C) Without pre-process chamber treatment With pre-process chamber treatment 1 st wafer effect associated with surrounding walls of mini-reactor 17

Origin of 1 st Wafer Effect 1.2x10-9 2 nd wafer Gas Inlet Gas Outlet QMS sampling Integrated H 2 Signal Per Cycle 9.0x10-10 6.0x10-10 3.0x10-10 1 st wafer H 2 during SiH 4 half-cycle 0 10 20 30 40 50 60 70 80 Cycle Number Product signals for 1 st wafer include nucleation on wafer and surrounding environment Product signals for subsequent wafers correspond to nucleation only on wafer 18

Precursor Dose Interactions 2.0x10-10 SiH 4 Exposure H 2 signal Purge WF 6 Exposure SiF 4 signal Purge WF 6 : 5 sccm H 2 signal 1.5x10-10 SiF 4 signal Intensity (A) 1.0x10-10 Increase WF 6 concentration WF 6 : 1 sccm H 2 signal SiF 4 signal 5.0x10-11 Increase WF 6 concentration 0 20 40 60 80 100 Scan Number WF 6 exposure influences SiH 4 exposure Precursor exposure interactions are important in ALD (imperfect self-limiting behavior) Higher concentration higher reaction rate shorter reaction time 19

Combinatorial CVD Spatially programmable showerhead gas delivery enables combinatorial chemical vapor deposition 20

Combinatorial ALD Numerous process recipe permutations in real-world ALD Precursor dose interactions Ternary (& higher) materials systems Sr precursor Ti precursor H 2 O oxidant 21

Conclusions Challenges for ALD manufacturing: Deviations from perfect layer-by-layer growth Dependence on reactant dose, temperature, surface condition Diversity and complexity of materials systems and applications Real-time chemical sensing provides direct insight into ALD process mechanisms and dynamics. Sensors can be employed for process optimization, process and wafer state metrology, and advanced process control for manufacturing. Real-time sensors and combinatorial strategies may be essential in managing the complex subtleties of atomic layer deposition 22

Acknowledgements Support Students Wei Lei, Laurent Henn-Lecordier Erin Robertson, Rama Sreenivasan Faculty Ray Adomaitis 23

Real-Time Chemical Sensing for Advanced Process Control in ALD Self-limiting surface chemistry endows atomic layer deposition (ALD) with exceptional benefits, from atomic-level control to unprecedented conformality and uniformity in chemically deposited thin films. However, the transition into mainstream manufacturing imposes new demands for advanced process control, and in turn integrated sensors to achieve that control. We have explored these questions using several sensor techniques, particularly downstream quadrupole mass spectrometry (QMS), QCM, and FTIR within the context of a wafer-scale reactor serving as a manufacturing prototype. Realtime signals reveal the dynamical phenomena during ALD half-cycles and surface chemistry on the wafer, including dose interactions indicating imperfect self-limiting reaction, temperature dependence, and depletion. Metrology derived from dynamic sensor signatures provides rapid observation of nucleation kinetics. Dynamic chemical sensing is thus poised to enable ALD manufacturability and process control. To facilitate ALD process development for complex materials (ternaries, etc.), we are also pursuing combinatorial methods for ALD as an extension of our combinatorial CVD research. 24