Chapter 7. Plasma Basics

Similar documents
Chapter 7 Plasma Basic

Device Fabrication: Etch

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

ETCHING Chapter 10. Mask. Photoresist

Thin Film Deposition. Reading Assignments: Plummer, Chap 9.1~9.4

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Introduction to Plasma

LECTURE 5 SUMMARY OF KEY IDEAS

CHAPTER 6: Etching. Chapter 6 1

Etching: Basic Terminology

Chapter 9, Etch. Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm

MICROCHIP MANUFACTURING by S. Wolf

CVD: General considerations.

Plasma Deposition (Overview) Lecture 1

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

Section 3: Etching. Jaeger Chapter 2 Reader

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6

Reactive Ion Etching (RIE)

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

Repetition: Practical Aspects

Wet and Dry Etching. Theory

Plasma etching. Bibliography

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING

Dynamization evolution of Dry Etch Tools in Semiconductor Device Fabrication Gordon Cameron Intel Corp (November 2005)

Robert A. Meger Richard F. Fernster Martin Lampe W. M. Manheimer NOTICE

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey

Technology for Micro- and Nanostructures Micro- and Nanotechnology

Lecture 10. Vacuum Technology and Plasmas Reading: Chapter 10. ECE Dr. Alan Doolittle

Plasma etch control by means of physical plasma parameter measurement with HERCULES Sematech AEC/APC Symposium X

Gas utilization in remote plasma cleaning and stripping applications

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

Chapter 8 Ion Implantation

DOE WEB SEMINAR,

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

Introduction to Thin Film Processing

Fabrication Technology, Part I

EE C245 ME C218 Introduction to MEMS Design Fall 2007

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

Previous Lecture. Electron beam lithoghraphy e - Electrons are generated in vacuum. Electron beams propagate in vacuum

Etching Capabilities at Harvard CNS. March 2008

Plasma Modeling with COMSOL Multiphysics

Adjustment of electron temperature in ECR microwave plasma

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Clean-Room microfabrication techniques. Francesco Rizzi Italian Institute of Technology

Plasma-enhanced Ammonia Combustion. Jason C. Ganley

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

ENVG FALL ICP-MS (Inductively Coupled Plasma Mass Spectrometry) Analytical Techniques

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

The Q Machine. 60 cm 198 cm Oven. Plasma. 6 cm 30 cm. 50 cm. Axial. Probe. PUMP End Plate Magnet Coil. Filament Cathode. Radial. Hot Plate.

Chapter VI: Cold plasma generation

Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice

SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a)

The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas*

Film Deposition Part 1

Characteristics and classification of plasmas

Semiconductor Technology

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods

Hiden EQP Applications

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas

Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

Chemical Vapor Deposition *

Physique des plasmas radiofréquence Pascal Chabert

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013

Procédés de dépôt plasma avec injection pulsée de précurseurs (PECVD et PEALD) :

- A spark is passed through the Argon in the presence of the RF field of the coil to initiate the plasma

Vacuum Pumps. Two general classes exist: Gas transfer physical removal of matter. Mechanical, diffusion, turbomolecular

EXPERIMENTAL STUDIES ON ELECTRO- POSITIVE/NEGATIVE PLASMAS PRODUCED BY RF DISCHARGES WITH EXTERNAL ANTENNA IN LOW-PRESSURE GASES

Interface (backside) & Extraction Lens

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4

6.5 Optical-Coating-Deposition Technologies

Application of Rarefied Flow & Plasma Simulation Software

EE C245 ME C218 Introduction to MEMS Design Fall 2007

PARTICLE CONTROL AT 100 nm NODE STATUS WORKSHOP: PARTICLES IN PLASMAS

arxiv: v1 [physics.plasm-ph] 10 Nov 2014

Critical Plasma Processing Parameters for Improved Strength of Wire Bonds

Regents of the University of California

Chapter 3 Basics Semiconductor Devices and Processing

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea

KE = 1 2 mv2 = ev. (1)

Table of Content. Mechanical Removing Techniques. Ultrasonic Machining (USM) Sputtering and Focused Ion Beam Milling (FIB)

Chemistry Instrumental Analysis Lecture 17. Chem 4631

Transcription:

Chapter 7 Plasma Basics 2006/4/12 1 Objectives List at least three IC processes using plasma Name three important collisions in plasma Describe mean free path Explain how plasma enhance etch and CVD processes Name two high density plasma sources 2006/4/12 2 1

Topics of Discussion What is plasma? Why use plasma? Ion bombardment Application of plasma process 2006/4/12 3 Applications of Plasma CVD Etch PVD Ion Implantation Photoresist strip Process chamber dry clean 2006/4/12 4 2

What Is Plasma A plasma is a ionized gas with equal numbers of positive and negative charges. A more precise definition: a plasma is a quasineutral gas of charged and neutral particles which exhibits collective behavior. Examples: Sun, flame, neon light, etc. 2006/4/12 5 Components of Plasma A plasma consists of neutral atoms or molecules, negative charges (electrons) and positive charges (ions) Quasineutral: n i n e Ionization rate: n e /(n e n n ) 2006/4/12 6 3

Neutral Gas Density Idea gas 1 mole = 22.4 Litter = 2.24 10 4 cm 3 1 mole = 6.62 10 23 molecules At 1 atm, gas density is 2.96 10 19 cm 3 At 1 Torr, gas density is 3.89 10 16 cm 3 At 1 mtorr, gas density is 3.89 10 13 cm 3 RF plasma has very low ionization rate 2006/4/12 7 Ionization Rate Ionization rate is mainly determined by electron energy in plasma, which is in turn controlled by the applied power. Also related to pressure, electrode spacing, gas species and chamber design. In most plasma processing chambers, the ionization rate is less than 0.01%. The ionization rate of high density plasma (HDP) source such as inductively coupled plasma (ICP) or electron cyclotron resonance (ECR), is much higher, which is about 1~ 5%. Ionization rate in the core of sun is ~100%. 2006/4/12 8 4

Parallel Plate Plasma System RF power Electrodes Plasma Dark spaces or sheath layers To Vacuum Pump 2006/4/12 9 Generation of a Plasma External power is needed Radio frequency (RF) power is the most commonly used power source with which a varying electric field is established Electrons and ions are continually generated and lost by collisions and recombination A plasma is stabilized when generation rate of electrons is equal to loss rate of electrons Vacuum system is required to generate a stable RF plasma 2006/4/12 10 5

Ionization Process Electron collides with neutral atom or molecule Knock out one of orbital electron e A A 2 e Ionization collisions generate electrons and ions It sustains a stable plasma 2006/4/12 11 Illustration of Ionization Nucleus Nucleus Free Electron Orbital Electron Free Electrons 2006/4/12 12 6

Excitation and Relaxation e A A* e where A* is excited state A* A h (Photons) light emission Different atoms or molecules have difference frequencies, that is why different gases have different glow colors. The change of the glow colors is used for etch and chamber clean process endpoint. 2006/4/12 13 Excitation Collision Grounded electron Excited electron Impact electron Impact electron Nucleus Nucleus 2006/4/12 14 7

Relaxation h: Planck Constant h : Frequency of Light Excited State h Ground State 2006/4/12 15 Dissociation Electron collides with a molecule, it can break the chemical bond and generate free radicals: e AB A B e Free radicals have at least one unpaired electron and are very chemically reactive. Increasing chemical reaction rate Very important for both etch and CVD. 2006/4/12 16 8

Dissociation e Free Radicals A B A B Molecule e 2006/4/12 17 Plasma Etch CF 4 is used in plasma to generate fluorine free radical (F) for oxide etch e CF 4 CF 3 F e 4F SiO 2 SiF 4 2O Enhanced etch chemistry 2006/4/12 18 9

Plasma Enhanced CVD PECVD with SiH 4 and NO 2 (laughing gas) e SiH 4 SiH 2 2H e e N 2 O N 2 O e SiH 2 3O SiO 2 H 2 O Plasma enhanced chemical reaction PECVD can achieve high deposition rate at relatively lower temperature 2006/4/12 19 Q & A Why are dissociation not important in the aluminum and copper PVD processes? Aluminum and copper sputtering processes only use argon. Argon is a noble gas, which exist in the form of atoms instead of molecules. Thus there is no dissociation process in argon plasma 2006/4/12 20 10

Q & A Is there any dissociation collision in PVD processes? Yes. In TiN deposition process, both Ar and N 2 are used. In plasma, N 2 is dissociated to generate free radical N, which reacts with Ti target to from TiN on the surface. Ar ions sputter TiN molecules from the surface and deposit them on wafer surface. 2006/4/12 21 Table 7.1 Silane Dissociation Collisions Byproducts Energy of Formation e SiH 4 SiH 2 H 2 e 2.2 ev SiH 3 H e 4.0 ev Si 2 H 2 e 4.2 ev SiH H 2 H e 5.7 ev SiH * 2 2H e 8.9 ev Si * 2H 2 e 9.5 ev SiH 2 H 2 2 e 11.9 ev SiH 3 H 2 e 12.32 ev Si 2H 2 2 e 13.6 ev SiH H 2 H 2 e 15.3 ev 2006/4/12 22 11

Q & A Which one of collisions in Table 7.1 is most likely to happen? Why? The one that requires the least energy is the one most likely to happen. 2006/4/12 23 Mean Free Path (MFP) The average distance a particle can travel before colliding with another particle. Larger molecules have shorter MFP because it is proportional to molecule size and crosssection 1 2n n is the density of the particle is the collision crosssection of the particle 2006/4/12 24 12

MFP Illustration Large particle Large particle Small particle Small particle (a) (b) 2006/4/12 25 Mean Free Path (MFP) Effect of pressure: 1 p Higher pressure, shorter MFP 2006/4/12 26 13

Q & A Why does one need a vacuum chamber to generate a stable plasma? At atmospheric pressure (760 Torr), MFP of an electron is very short. Electrons are hard to get enough energy to ionize gases molecules. Extremely strong electric field can create plasma in the form of arcing (lightening) instead of steady state glow discharge. 2006/4/12 27 Movement of Charged Particle Electron is much lighter than ion m e << m i m e :m Hydrogen =1:1836 Electric forces on electrons and ions are the same F = qe Electron has much higher acceleration a = F/m 2006/4/12 28 14

Movement of Charged Particle RF electric field varies quickly, electrons are accelerated very quickly while ions react slowly Ions have more collisions due to their larger crosssection that further slowing them down Electrons move much faster than ions in plasma 2006/4/12 29 Thermal Velocity Electron thermal velocity, 1eV = 11594 K v = (kt e /m e ) 1/2 RF plasma, T e is about 2 ev v e 5.93 10 7 cm/sec = 1.33 10 7 mph (equivalent to airplane s speed) 2006/4/12 30 15

Magnetic Force and Gyromotion Magnetic force on a charged particle: F = qv B Magnetic force is always perpendicular to the particle velocity Charged particle will spiral around the magnetic field line. Gyromotion. 2006/4/12 31 Gyromotion Magnetic Field Line Trajectory of charged particle 2006/4/12 32 16

Gyrofrequency Charged particle in gyro motion in magnetic field qb m Gyro radius Gyroradius of charged particle in a magnetic field,, can be expressed as: = v / 2006/4/12 33 Boltzmann Distribution f(e) Electrons with enough energy for ionization 2 3 ev Energy, E 2006/4/12 34 17

Ion Bombardment Electrons reach electrodes and chamber wall first Electrodes charged negatively, repel electrons and attract ions. The sheath potential accelerates ions towards the electrode and causes ion bombardment. Ion bombardment is very important for etch, sputtering and PECVD processes. 2006/4/12 35 Sheath Potential x Electrode Bulk plasma Sheath Region V p Sheath Potential Dark space V f 2006/4/12 36 18

Ion Bombardment Anything close to plasma gets ion bombardment Mainly determined by RF power Pressure also can affect bombardment 2006/4/12 37 Applications of Ion bombardment Help to achieve anisotropic etch profile Damaging mechanism Blocking mechanism Argon sputtering Dielectric etch for gap fill Metal deposition Help control film stress in PECVD processes Heavier bombardment, more compressive film 2006/4/12 38 19

Plasma Potential & DC Bias Plasma Potential Volt DC Bias Time RF potential 2006/4/12 39 DC biases and RF powers Plasma potential Plasma potential 0 DC bias time DC bias 0 time RF potentials Lower RF power Smaller DC bias Higher RF power Larger DC bias 2006/4/12 40 20

Ion Bombardment Ion energy Ion flux (density) Both controlled by RF power 2006/4/12 41 Ion Bombardment Control Increasing RF power, DC bias increases, ion density also increases. Both ion density and ion bombardment energy are controlled by RF power. RF power is the most important knob controlling ion bombardment RF power also used to control film stress for PECVD processes 2006/4/12 42 21

DC Bias of CVD Chamber Plasma RF hot Vp = 10 20 V Grounded Dark spaces or sheath regions 2006/4/12 43 DC Bias of Etch Chamber Plasma Plasma potential DC bias 0 time Wafer Potential Self bias 2006/4/12 44 22

DC Bias of Etch Chamber Plasma A 1 V 2 A 2 DC bias V 1 V 1 = 200 to 1000 V V 1 /V 2 =(A 2 /A 1 ) 4 2006/4/12 45 Question and Answer If the electrode area ratio is 1:3, what is the difference between the DC bias and the selfbias compare with the DC bias? The DC bias is V 1, the selfbias is V 1 V 2, therefore, the difference is [V 1 (V 1 V 2 )]/V 1 = V 2 /V 1 = (A 1 /A 2 ) 4 = (1/3) 4 = 1/81 = 1.23% 2006/4/12 46 23

Q and A Can we insert a fine metal probe into the plasma to measure the plasma potential V 2? Yes, we can. However, it is not very accurate because of sheath potential near probe surface Measurement results are determined by the theoretical models of the sheath potential, which have not been fully developed, yet. 2006/4/12 47 Ion Bombardment and Electrode Size Smaller electrode has more energetic ion bombardment due to selfbias Etch chambers usually place wafer on smaller electrode 2006/4/12 48 24

Advantages of Using Plasma Plasma processes in IC fabrication: PECVD CVD chamber dry clean Plasma Etch PVD Ion implantation 2006/4/12 49 Benefits of Using Plasma in CVD Process High deposition rate at relatively lower temperature. Independent film stress control Chamber dry clean Gap fill capability 2006/4/12 50 25

Comparison of PECVD and LPCVD Processes LPCVD (150 mm) PECVD (150 mm) Chemical reaction SiH 4 O 2 SiO 2 SiH 4 N 2 O SiO 2 Process parameters p =3 Torr, T=400 C p=3 Torr, T=400 C and RF=180 W Deposition rate 100 to 200 Å/min 8000 Å/min Process systems Batch system Singlewafer system Wafer to wafer uniformity Difficult to control Easier to control 2006/4/12 51 Gap Fill by HDPCVD Simultaneously deposition and sputtering Tapering the gap opening Fill gap between metal lines bottom up 2006/4/12 52 26

HDP CVD Voidfree Gap Fill 0.25 m, A/R 4:1 2006/4/12 53 Benefits of Using Plasma For Etch Process High etch rate Anisotropic etch profile Optical endpoint Less chemical usage and disposal 2006/4/12 54 27

Benefits of Using Plasma For PVD Process Argon sputtering Higher film quality Less impurity and higher conductivity Better uniformity Better process control Higher process integration capability. Easier to deposit metal alloy films 2006/4/12 55 PECVD and Plasma Etch Chambers CVD: Adding materials on wafer surface Free radicals Some bombardment for stress control Etch: Removing materials from wafer surface Free radicals Heavy bombardment Prefer low pressure, better directionality of ions 2006/4/12 56 28

PECVD Chambers Ion bombardment control film stress Wafer is placed grounded electrode Both RF hot and grounded electrodes have about the same area It has very little selfbias The ion bombardment energy is about 10 to 20 ev, mainly determined by the RF power 2006/4/12 57 Schematic of a PECVD Chamber RF Chuck Plasma Wafer 2006/4/12 58 29

Plasma Etch Chambers Ion bombardment Physically dislodge break chemical bonds Wafer on smaller electrode Selfbias Ion bombardment energy on wafer (RF hot electrode): 200 to 1000 ev on lid (ground electrode): 10 to 20 ev. 2006/4/12 59 Plasma Etch Chambers Heat generation by heavy ion bombardment Need control temperature to protect masking PR Watercool wafer chuck (pedestal, cathode) Lower pressure not good to transfer heat from wafer to chuck Helium backside cooling required Clamp ring or electrostatic chuck (Echuck) to hold wafer 2006/4/12 60 30

Plasma Etch Chambers Etch prefer lower pressure longer MFP, more ion energy and less scattering Low pressure, long MFP, less ionization collision hard to generate and sustain plasma Magnets are used to force electron spin and travel longer distance to increase collisions 2006/4/12 61 Schematic of an Etch Chamber Process gases Process chamber Wafer Plasma Magnet coils Byproducts to the pump Backside cooling helium Chuck RF power 2006/4/12 62 31

Remote Plasma Processes Need free radicals Enhance chemical reactions Don t want ion bombardment Avoid plasmainduced damage Remote plasma systems 2006/4/12 63 Remote Plasma System Process gases Process chamber MW or RF Plasma Remote plasma chamber Free radicals Heated plate Byproducts to the pump 2006/4/12 64 32

Photoresist Strip Remove photoresist right after etch O 2 and H 2 O chemistry Can be integrated with etch system Insitu etch and PR strip Improve both throughput and yield 2006/4/12 65 Photoresist Strip Process Microwave Remote plasma chamber H 2 O, O 2 Plasma Process chamber Wafer with photoresist O H O O H O H O H 2 O, CO 2, To the pump Heated plate 2006/4/12 66 33

Remote Plasma Etch Applications: isotropic etch processes: LOCOS or STI nitride strip wineglass contact hole etch Can be integrated with plasma etch system improve throughput Part of efforts to replace wet process 2006/4/12 67 Remote Plasma Etch System Microwave Remote plasma chamber NF 3 Plasma Process chamber F N2 F F N 2 F F Wafer N 2, SiF 4, To pump Heated plate 2006/4/12 68 34

Remote Plasma Clean Deposition occurs not only on wafer surface CVD chamber need clean routinely Prevent particle contamination due to film crack Plasma clean with fluorocarbon gases is commonly used Ion bombardment affects parts lifetime Low dissociation rate of fluorocarbon Environmental concern of fluorocarbon releases 2006/4/12 69 Remote Plasma Clean Microwave highdensity plasma The free radicals flow into CVD chamber React and remove deposited film Clean the chamber while gentle process, prolonged part lifetime high dissociation, little fluorocarbon releases 2006/4/12 70 35

Remote Plasma Clean System Microwave Remote plasma chamber NF 3 Plasma CVD chamber F N2 F F N 2 F F N 2, SiF 4, To pump Heated plate 2006/4/12 71 Remote Plasma CVD (RPCVD) Epitaxial SiGe for highspeed BiCMOS Still in R&D Gate dielectric: SiO 2, SiON, and Si 3 N 4 High dielectrics: HfO 2, TiO 2, and Ta 2 O 5 PMD barrier nitride LPCVD: budget limitations PECVD: plasma induced damage 2006/4/12 72 36

Highdensity Plasma Highdensity at low pressure are desired Lower pressure, longer MFP, less ion scattering, which enhance etch profile control. Higher density, more ions and free radicals Enhance chemical reaction Increase ion bombardment For CVD processes, HDP insitu, simultaneous dep/etch/dep enhance gap fill 2006/4/12 73 Limitation of Parallel Plate Plasma Source Capacitively coupled plasma source Can not generate highdensity plasma Hard to generate plasma even with magnets at low pressure, about a few mtorr. electron MFP too long, no enough ionization collisions. 2006/4/12 74 37

Limitation of Parallel Plate Plasma Source Cannot independently control ion flux and ion energy Both are directly related to RF power Better process control requires a plasma source that capable to independently control both of them 2006/4/12 75 ICP and ECR Most commonly used in IC industry Inductively coupled plasma, ICP also called transformer coupled plasma, or TCP Electron cyclotron resonance, ECR, Low press at few mtorr Independently control ion flux and ion energy 2006/4/12 76 38

Inductively Coupled Plasma (ICP) RF current flows in the coils generates a changing electric field via inductive coupling The angular electric field accelerates electrons in angular direction. Electrons to travel a long distance without collision with the chamber wall or electrode. Ionization collisions generate highdensity plasma at low pressure 2006/4/12 77 Inductively Coupled Plasma (ICP) Bias RF power controls the ion energy Source RF power controls the ion flux Helium backside cooling system with Echuck controls wafer temperature 2006/4/12 78 39

Illustration of Inductive Coupling RF current in coil Induced electric field RF magnetic field 2006/4/12 79 Schematic of ICP Chamber Source RF Inductive coils Ceramic cover Chamber body Echuck Plasma Helium Bias RF Wafer 2006/4/12 80 40

Application of ICP Dielectric CVD All patterned etch processes, particularly for high aspectration structure Sputtering clean prior to metal deposition Metal plasma PVD Plasma immersion ion implantation 2006/4/12 81 ECR Gyrofrequency or cyclotron frequency: qb m Determined by magnetic field 2006/4/12 82 41

ECR Electron cyclotron resonance when MW = e Electrons get energy from MW Energetic electrons collide with other atoms or molecules Ionization collisions generate more electrons Electrons are spiraling around the field line Many collisions even at very low pressure 2006/4/12 83 Illustration of ECR Electron trajectory B Microwave Power 2006/4/12 84 42

Illustration of ECR Microwave Magnetic Coils Magnetic field line ECR Plasma Wafer Echuck Bias RF Helium 2006/4/12 85 ECR Bias RF power controls the ion energy Microwave power controls the ion flux Magnet coil current controls plasma position and process uniformity Helium backside cooling system with Echuck controls wafer temperature 2006/4/12 86 43

Application of ECR Dielectric CVD All patterned etch processes Plasma immersion ion implantation 2006/4/12 87 Summary Plasma is ionized gas with n = n Plasma consist of n, e, and i Ionization, excitationrelaxation, dissociation Ion bombardment help increase etch rate and achieve anisotropic etch Light emission can be used for etch end point MFP and its relationship with pressure Ions from plasma always bombard electrodes 2006/4/12 88 44

Summary Increasing RF power increases both ion flux and ion energy in capacitive coupled plasmas Low frequency RF power gives ions more energy, causes heavier ion bombardment The etch processes need much more ion bombardment than the PECVD Low pressure, high density plasma are desired ICP and ECR are two HDP systems used in IC fabrication 2006/4/12 89 45