Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems

Similar documents
EUV Resist-Fundamental Research

Optimizing RLS. Seiichi Tagawa. The Institute of Scientific and Industrial Research Osaka University and JST-CREST

Radiation Chemistry of EUV and EB Resists

Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa

Relationships between Stochastic Phenomena and Optical Contrast in Chemically Amplified Resist Process of Extreme Ultraviolet Lithography

Acid Quantum Efficiency of Anion-bound Chemically Amplified Resists upon Exposure to Extreme Ultraviolet Radiation

More on Stochastics and the Phenomenon of Line-Edge Roughness

Application of Stochastic Modeling to Resist Optimization Problems

Is an EUV Film Quantum Yield of 30 Possible?

Next: 193nm Lithography

A Simple Model of Line-Edge Roughness

Takeo Watanabe Center for EUVL, University of Hyogo

Understanding electron energy loss mechanisms in EUV resists using EELS and first-principles calculations

Line Edge Roughness, part 2

Recent progress in nanoparticle photoresist development for EUV lithography

RLS Trade-Off: Questions about Molecular Size and Quantum Yield

EUVL Readiness for High Volume Manufacturing

Seiichi Tagawa, Satoshi Enomoto, Akihiro Oshima

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists

X-Rays, Electrons and Lithography: Fundamental Processes in Molecular Radiation Chemistry

Study of Deprotection Reaction during Exposure in Chemically Amplified Resists for Lithography Simulation

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

Polymer Matrix Effects on EUV Acid Generation

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing

Chapter 2 Chemistry of High-Energy Charged Particles: Radiations and Polymers

Title. CitationChemical physics letters, 657: Issue Date Doc URL. Rights

Particle Generation during Photoresist Dissolution

EUV ablation. C. Liberatore1,2, A. Bartnik5, K. Mann4, M. Müller4, L. Pina2, L. Juha3, J. J. Rocca6, A. Endo1, T. Mocek1

Modeling and Simulation of Line Edge Roughness for EUV Resists

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law

Study of Shot Noise in EUV Resists through EUV. and E-Beam Comparative LER Analysis

Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

World-wide Standardization Effort on Leaching Measurement Methodology

05 - Scintillation detectors

Simulation and characterization of surface and line edge roughness in photoresists before and after etching

Electron-beam SAFIER process and its application for magnetic thin-film heads

Resist material for negative tone development process

Introduction. Photoresist : Type: Structure:

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Measurement of the role of secondary electrons in EUV resist exposures

Extreme ultraviolet induced chemical reactions in photoresists and model systems

Optical Proximity Correction

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Chemistry Instrumental Analysis Lecture 8. Chem 4631

Accelerated Neutral Atom Beam (ANAB)

Resist-outgas testing and EUV optics contamination at NIST

EUV Lithography Towards Industrialization

Molecular Organometallic Resists for EUV (MORE) October 6, 2013

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly

Stochastic modeling of photoresist development in two and three dimensions

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center

Effect of PAG Location on Resists for Next Generation Lithographies

M oore s law indicates that the number of transistors in integrated circuits should double about every two

Novel Molecular Materials Based on Noria and Double Calixarene (Beryllus) for EB and EUV Resist Systems

Experimental Investigation of Mobility Changes of Negative Ions in Superfluid Helium due to Photo-excitation

Patterning Challenges and Opportunities: Etch and Film

Gas 1: Molecular clouds

Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008

EUV Reflectometry for Determining the Optical Properties of Photoresists and Underlayer Materials Upon Irradiation at 13.5-nm

Photoelectron Spectroscopy using High Order Harmonic Generation

Supporting Information

PHYSICS OF THE SPACE ENVIRONMENT

Laser Dissociation of Protonated PAHs

Progress in LPP EUV Source Development by Japan MEXT Project

Chapter 4 Scintillation Detectors

Appearance Potential Spectroscopy

Lecture 15: Optoelectronic devices: Introduction

QsT/ Recently, chemically amplified EUV photoresists have been developed which

Important processes in modeling and optimization of EUV lithography sources

Temperature Dependent Optical Band Gap Measurements of III-V films by Low Temperature Photoluminescence Spectroscopy

Comprehensive model of electron energy deposition*

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists

Performance of high pressure Xe/TMA in GEMs for neutron and X-ray detection

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Nano and micro Hall-effect sensors for room-temperature scanning hall probe microscopy

Core Level Spectroscopies

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Study of GEM-like detectors with resistive electrodes for RICH applications

Efficient EUV source by use of a micro-target containing tin nanoparticles

Precision neutron flux measurement with a neutron beam monitor

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking

Radiation Detection for the Beta- Delayed Alpha and Gamma Decay of 20 Na. Ellen Simmons

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Effects of -Ray Irradiation on Colour and Fluorescence of Pearls

Electronic Supplementary Information

Screening of basic resist materials and PAGs for EUV-Lithography

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Supplementary Figures

A Parameter Extraction Framework for DUV Lithography Simulation

GaN for use in harsh radiation environments

Plasma EUV source has been studied to achieve 180W of power at λ=13.5nm, which is required for the next generation microlithography

3. Gas Detectors General introduction

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

Lecture 8. Photoresists and Non-optical Lithography

The GEM scintillation in He-CF 4, Ar-CF 4, Ar-TEA and Xe-TEA mixtures

Transcription:

Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems Seiichi Tagawa The Institute of Scientific and Industrial Research Osaka University tagawa@sanken.osaka-u.ac.jp, Berkely, 15 June 2017

There are two important problems in EUV resists (1) RLS trade off problem The most difficult technical requirement for EUV resist is simultaneous improvement in resolution, LWR, and sensitivity (RLS). Resolution LWR (2) Photon shot noise problem LER due to photon shot noise Sensitivity

1 Exposure (Tool) 2Interaction of EUV with resists 3 Accumulated energy profile (1) RLS Trade-off Problem 4 Acid generation 5 Latent acid image Resist Pattern Formation Processes of EUV CARs A review paper: Kozawa and Tagawa, (2010) 6 Acid diffusion, Solubility change 7Acid catalyzed image (Latent image after PEB) 8 Development 9Resist pattern formation Based on the resist pattern formation model of EUV CARs including radiation chemistry, the RLS trade-off has been improved steadily by worldwide efforts. This approach is now reaching near physical limit of the model. Therefore, novel processes and materials of overcoming RLS trade-off must be necessary for EUVL HVM. In simulations of CAR, G.M. Gallatin, Proc. SPIE (2005), showed no fundamental differences in simulations among ArF, EB and EUV resists after latent acid image formation. The high acid yield and the small acid space distribution are clearly the best solutions of RLS trade-off problem. A new high resist sensitization process by the combination lithography of EUV pattern exposure with UV flood exposure of Photosensitized Chemically Amplified Resist TM (PSCAR TM ) was proposed at Osaka University in 2013. (S.Tagawa et al., J.Photoplm. Sci. Tech. 26, 825 (2013) CAR reactons: Pattern exposure of EUV (radiation chemistry) + thermal diffusion reaction PSCAR reactions: EUV Pattern exposure + UV flood exposure(photochemistry) + thermal diffusion reaction

One of Solutions of RLS Trade-off Problem is PSCAR EUV PSCAR: Combination of radiation chemistry with photochemistry (1 st exposure) (2 nd exposure) low power pattern exposure generation of photosensitizer (PS) and acid acid acid PS PS high intense UV flood exposure produces huge amount of acid Photosensitized acid generation reactions at room temperature 1. The first EUV pattern exposure produces photosensitizers (PSs). 2. Resist has no absorption band at the second flood exposure light wavelength. Therefore, no reaction of resist occurs by only the second flood exposure. 3. Only PSs have absorption bands at the second flood exposure wavelength. Sensitivity enhancement occurs by excitation of PSs.

PSCAR 1. The first EUV pattern exposure produces photosensitizers (PSs). 2. Resist has no absorption band at the second flood exposure light wavelength. Therefore, no reaction of resist occurs by only the second flood exposure. 3. Only PSs have absorption bands at the second flood exposure wavelength. Sensitivity enhancement occurs by excitation of PSs. PP-1 PS UV-Vis 365nm (Flood exposure) One example of PP and PS for PSCAR and their reaction and UV-Vis spectra (Tomoki Nagai, et. al., Proc. SPIE, 9779-7 (2016) )

Breakthrough of RLS trade-off Resolution (A) (B) (1) RLS trade-off LWR Sensitivity (2) Distribution and yield of latent acid image (B) (A) Schematic drawing of (1) RLS trade-off and (2) initial distributions and yields of acid. If initial acid yield increases from (A) to (B) with the same distribution, RLS trade-off is improved from (A ) to (B). (S. Tagawa, SPIE Newsroom, 13 March 2014) Simulations: G.M. Gallatin, Proc. SPIE (2005), (no fundamental differences in simulations among ArF, EB and EUV resists after latent acid image formation.) The higher acid yield with the same acid space distribution is clearly one of the best solutions of RLS trade-off problem.

(2) Photon Shot Noise Problem There are so many important papers on LER due to photon shot noise. Normalized image log slop (NILS) = w x d(lni)/dx w: nominal line width, I: intensity of insident photons For example: C.A.Mack, Field Guide to optical lithography (2006) Modified NILS (NILS*) is better for EUV/EB lithography, Kozawa and Tagawa (2009) LER can be approximated by using chemical gradient (dm/dx). It was experimentally confirmed. LER = f LER (constant) /dm/dx Kozawa, Oizumi, Itani, Tagawa (2010) The LER originating from the fluctuation of chemical reactions increases with increasing resist sensitivity experimentally. Kozawa, Yamamoto, Tagawa (2010) Therefore, LER due to photon shot noise can be approximated by using dm/dx for CAR. LER photon shot noise σ photon shot noise (constant) /dm/dx The difference of σ(constant) and dm/dx between PSCAR and CAR? σ(constant) depends on the distribution of acids and dm/dx depends on acids/quenchers.

Intensity of EUV (I) I I z Absorption coefficient (α) PHS : 3.8 mm -1 Energy Absorption Process of EUV Resists e - e - Thermalization Interaction of EUV photon with CARs -spatial distribution- EUV photon (92.5 ev) photon Electron > IP Electron < IP Ionization + + e - + Ionization * + Excitation Ionization e - Thermalization Resist z Inelastic mean free path <1 nm mean free path at electron with energy > IP Thermalization Length 4.0 (3.2) nm for PHS The number of secondary electrons is estimated expermentally. 4.2 for PHS PHS with 10 wt% TPS-tf Acid molecules per photon: 2.6 (Kozawa et al. J.Vac.Sci. Tecnnol.,B25(2007) 2481) Experimental value: 2.5 (Hirose et al.,jap.j.appl.phys,part 2(2007)

CAR Polymer(PH) ionization P +PH e - Acid Generation of Acid (HX) in CAR EUV exposure 1 (Hole generates radical and protonated polymer by ion molecular reaction) PAG Acid generation (Dissociative Electron Attachment) AX PEB 1Thermalization length of e - (3~4 nm for typical CAR) Electron (e-) produces just after thermalization. is immobile and is mobile in all polymers of CAR. Kozawa, Okamoto, Saeki, Tagawa, Jpn. J. Appl. Phys. (2009) Distance between ionizations > 1Thermalization length of e -, Diffusion Length of Acid during PEB

CAR Polymer(PH) ionization PSCAR Polymer(PH) ionization P +PH e - e - Spherical acid cluster generation in PSCAR EUV exposure 1 1 PAG EUV exposure P +PH (Hole generates radical and protonated polymer by ion molecular reaction) PPS PS 2 AX Acid generation (Dissociative Electron Attachment) AX UV exposure polymer ionization X- Distance between ionizations > 1Thermalization length of e - > new processes (2 thermal reaction distance(at RT), Electron transfer distance from PS* to PAG) <Diffusion Length of Acid during PEB PS 2 H X + - In CAR and PSCAR, stochastic problem is important only around dissolution threshold area. H X + - PEB PEB

Breakthrough of Photon Shot Noise Problem (B) Distribution and yield of latent acid image (A) Q: Quencher The higher concentration of quencher can be used in PSCAR than in CAR. Therefore, the higher chemical gradient (dm/dx) can be obtained in PSCAR than in CAR. LER due to photon shot noise can be explained by using chemical gradient around dissolution threshold for both CAR/PSCAR. LER photon shot noise σ photon shot noise /dm/dx CAR EUV Pattern exposure + thermal reaction Acid generation(ag) acid catalyzed reaction (PEB) PSCAR TM (EUV Pattern + UV flood) exposure + thermal reaction AG + PS generation spherical acid cluster generation PEB

Conclusion PSCAR is good solution for breakthrough of both RLS trade-off and photon shot noise problems. 125 kev EB exposure experiments at Osaka University showed very good results for PSCAR. Now collaboration partner companies have been examined PSCAR performance with the different types of PSCAR materials by simulation and EUV exposure experiments. Further optimization of PSCAR material formulation is needed but the initial results by preliminary off-line flood exposure experiments on ASML NXE:3300 at imec with TEL s standalone pre-alpha flood exposure tool are promising to understand the possibility of PSCARs. Improvement of optimization of processes, materials, and systems for PSCAR is always important, but improvement of PSCAR proceeds steadily and much faster than CAR. EUV CARs have been improved now very slowly but steadily by worldwide efforts based on the resist pattern formation model of EUV CARs including radiation chemistry even after 35 years since the birth of CAR in IBM.

Acknowledgments We would like to acknowledge members of collaboration partners, especially members of Osaka University, Tokyo Electron Ltd., Tokyo Electron Kyushu Ltd., Tokyo Electron America, Inc., JSR Corporation, JSR MICRO NV, imec, and Paul Scherrer Institute.

Thank you for your kind attention.