Relationships between Stochastic Phenomena and Optical Contrast in Chemically Amplified Resist Process of Extreme Ultraviolet Lithography

Similar documents
Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa

Acid Quantum Efficiency of Anion-bound Chemically Amplified Resists upon Exposure to Extreme Ultraviolet Radiation

EUV Resist-Fundamental Research

Optimizing RLS. Seiichi Tagawa. The Institute of Scientific and Industrial Research Osaka University and JST-CREST

Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems

More on Stochastics and the Phenomenon of Line-Edge Roughness

Study of Deprotection Reaction during Exposure in Chemically Amplified Resists for Lithography Simulation

Radiation Chemistry of EUV and EB Resists

Is an EUV Film Quantum Yield of 30 Possible?

Title. CitationChemical physics letters, 657: Issue Date Doc URL. Rights

A Simple Model of Line-Edge Roughness

Application of Stochastic Modeling to Resist Optimization Problems

Next: 193nm Lithography

Line Edge Roughness, part 2

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Synthesis and Property of Tellurium-Containing Polymer for Extreme Ultraviolet Resist Material

Polymer Matrix Effects on EUV Acid Generation

RLS Trade-Off: Questions about Molecular Size and Quantum Yield

EUV Reflectometry for Determining the Optical Properties of Photoresists and Underlayer Materials Upon Irradiation at 13.5-nm

Particle Generation during Photoresist Dissolution

Photolithography II ( Part 1 )

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists

M oore s law indicates that the number of transistors in integrated circuits should double about every two

Modeling and Simulation of Line Edge Roughness for EUV Resists

Study of Shot Noise in EUV Resists through EUV. and E-Beam Comparative LER Analysis

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law

EUVL Readiness for High Volume Manufacturing

Laser Lithography System. d Technology (SPST), (C) フォトポリマー学会 Rights データはフォトポリマー学会からの許諾を得て公開しています

Stochastic modeling of photoresist development in two and three dimensions

Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

Effects of photoresist polymer molecular weight on line-edge roughness and its metrology probed with Monte Carlo simulations

Lecture 8. Photoresists and Non-optical Lithography

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography

Simulation and characterization of surface and line edge roughness in photoresists before and after etching

A Parameter Extraction Framework for DUV Lithography Simulation

Novel Molecular Materials Based on Noria and Double Calixarene (Beryllus) for EB and EUV Resist Systems

Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Nanoimprint Lithography

DUV Positive Photoresists

Seiichi Tagawa, Satoshi Enomoto, Akihiro Oshima

Impact of Polymerization Process and OOB on Lithographic Performance of a EUV Resist

I. 16. Coloration of Polyethylene Terephthalate (PET) Film by 3MeV Proton Beams

Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation

Development of Lift-off Photoresists with Unique Bottom Profile

Direct write electron beam patterning of DNA complex thin films

doi: /PhysRevLett

Chapter 2 Chemistry of High-Energy Charged Particles: Radiations and Polymers

Mechanisms of Visible Photoluminescence from Size-Controlled Silicon Nanoparticles

Superconducting Single-photon Detectors

Electron-beam SAFIER process and its application for magnetic thin-film heads

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

3.1 Absorption and Transparency

DIFFUSION OF ACID AND ACTIVATION ENERGY OF POSITIVE CHEMICAL AMPLIFICATION RESIST

Damage to Molecular Solids Irradiated by X-ray Laser Beam

arxiv: v1 [physics.ins-det] 6 Nov 2007

Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Measurement of the role of secondary electrons in EUV resist exposures

High Optical Density Photomasks For Large Exposure Applications

Negative Tone Development: Gaining insight through physical simulation

Molecular Organometallic Resists for EUV (MORE) October 6, 2013

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas

Surface roughness development during photoresist dissolution

Microwave Absorption by Light-induced Free Carriers in Silicon

(a) Mono-absorber. (b) 4-segmented absorbers. (c) 64-segmented absorbers

Important processes in modeling and optimization of EUV lithography sources

FINE PATTERN ETCHING OF SILICON USING SR-ASSISTED IONIZATION OF CF4 GAS

Silicon VLSI Technology. Fundamentals, Practice and Modeling

Segmented 1.55um Laser with 400% Differential Quantum Efficiency J. Getty, E. Skogen, L. Coldren, University of California, Santa Barbara, CA.

EUV ablation. C. Liberatore1,2, A. Bartnik5, K. Mann4, M. Müller4, L. Pina2, L. Juha3, J. J. Rocca6, A. Endo1, T. Mocek1

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage

Lecture 15: Optoelectronic devices: Introduction

Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography

Table of Contents. Foreword... Jörge DE SOUSA NORONHA. Introduction... Michel BRILLOUËT

Resist material for negative tone development process

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide

Hiroshi Ito and Mark Sherwood. IBMAlmaden Research Center 650 Harry Road San Jose, CA 95120, U S. A ibm. com.

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources

The deprotection reaction front profile in model 193 nm methacrylate-based chemically amplified photoresists

We published the text from the next page.

Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008

Novel chemically amplified resists incorporating anionic photoacid generator functional groups for sub-50-nm half-pitch lithography

A normal-incident quantum well infrared photodetector enhanced by surface plasmon resonance

Formation and micromachining of Teflon fluorocarbon polymer film by a completely dry process using synchrotron radiation

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

Recent progress in nanoparticle photoresist development for EUV lithography

TANDEM BLUE-VIOLET QUANTUM WELL InGaN LASERS WITH HIGH-FREQUENCY SELF-PULSATIONS. I. Antohi, S. Rusu, and V. Z. Tronciu

Lecture 14 Advanced Photolithography

QsT/ Recently, chemically amplified EUV photoresists have been developed which

Analytic estimation and minimization of line edge roughness in electron-beam lithography

Exploration of non-chemically amplified resists based on chain-scission mechanism for 193 nm lithography

Measurement of EUV scattering from Mo/Si multilayer mirrors

SUPPLEMENTARY INFORMATION

Transcription:

Journal of Photopolymer Science and Technology Volume 7, Number () 9 SPST Relationships between Stochastic Phenomena and Optical Contrast in Chemically Amplified Resist Process of Extreme Ultraviolet Lithography Takahiro Kozawa, Julius Joseph Santillan,* and Toshiro Itani* The Institute of Scientific and Industrial Research, Osaka University, - Mihogaoka, Ibaraki, Osaka 57-7, Japan *EUVL Infrastructure Development Center, Inc. (EIDEC), - Onogawa, Tsukuba, Ibaraki 5-59, Japan kozawa@sanken.osaka-u.ac.jp The development of extreme ultraviolet (EUV) lithography has been pursued toward the nm node. With the reduction in feature size, the stochastic effect becomes an essential problem in the lithography used for the high-throughput production of semiconductor devices. In this study, the relationships between stochastic phenomena [line edge roughness (LER) and stochastic defect generation] and optical contrast were investigated using a Monte Carlo simulation on the basis of the reaction mechanisms of chemically amplified EUV resists. Optical contrast did not affect the protected unit fluctuation at the boundary between lines and spaces. However, the protected unit fluctuation at the centers of lines and spaces increased with decreasing optical contrast. The stochastic defect generation is basically affected more by the optical contrast degradation than LER. Keywords: EUV lithography, chemically amplified resist, line edge roughness, stochastic defect generation, optical contrast. Introduction Extreme ultraviolet (EUV) radiation, the wavelength of which is.5 nm, is one of the most promising exposure sources for next-generation lithography.[,] The resolution of EUV lithography has already reached the sub-5 nm region.[-] The focus of the development of EUV lithography has shifted toward the nm node. The energy of EUV photons is -fold higher than that of the exposure source used currently in the high-volume production lines of semiconductor devices. Because of the expensive exposure tools and the low power of exposure sources, a highly sensitive resist is required for the application of EUV lithography to the high-volume production of semiconductor devices. Also, the number of photons used for the generation of a pattern decreases with decreasing the pattern size. Therefore, the stochastic effect is a serious concern in the development of resist materials and processes for the nm node.[7-] In the lithography used for the high-volume production of semiconductor devices, a highly sensitive resist called the chemically amplified resist[] has been used since the transition of the exposure tool from an i line of a Hg lamp to a KrF excimer laser. The chemically amplified resist is also an indispensable technology for EUV lithography. Typical stochastic events in lithography processes are the shot noise of incident photons,[] the interaction of particles such as photons and electrons with molecules,[] the diffusion of molecules such as acid and quencher molecules,[] chemical reactions such as deprotection and neutralization,[] and the dissolution of molecules in the developer.[5] Typical phenomena induced by stochastic events are the formation of the sidewall roughness of resist patterns called the line edge roughness (LER)[,7] and the stochastic generation of defects such as pinching and bridges.[] Received April, Accepted May 5,

J. Photopolym. Sci. Technol., Vol. 7, No., Recently, we have developed a method of analyzing stochastic effects in chemically amplified resist processes.[,9] In studies using a high-performance resist called the first EIDEC standard resist (ESR), the following relationships for the stochastic effects were revealed. In these studies, the stochastic effect was expressed using the standard deviation σ of the number of protected units connected to a polymer molecule after postexposure baking (PEB). () The relationship between LER and the stochastic effect was expressed as LER=.σ n /(dm/dx), where n and dm/dx denote the normalization by the initial number of protected units connected to a polymer molecule before PEB and the chemical gradient (the gradient of the normalized protected unit concentration), respectively.[9] () The elimination of the stochastic pinching generation over a. µm line length required a.-.σ n difference between the average protected unit concentration and the dissolution point at the center of the line pattern.[] () The elimination of the stochastic bridge generation over a. µm line length required a.5-.σ n difference between the average protected unit concentration and the dissolution point at the center of space.[] In this study, the relationships between stochastic phenomena (LER and stochastic defect generation) and optical contrast were investigated using a Monte Carlo simulation on the basis of the reaction mechanisms[,] of chemically amplified EUV resists. The chemical reactions induced upon the formation of line-and-space patterns with an nm half-pitch were simulated. The effects of optical contrast on the protected unit fluctuation at the centers of lines and spaces and at the boundary between lines and spaces were determined.. Simulation Model and Method In the simulation, an aerial image of incident EUV photons, I(x: perpendicular to line pattern, y: parallel to line pattern, z: depth direction), was approximated using a cosine function: A π x I( x, y, z) = + cos C () p / Here, A, C, and p / represent the exposure dose, the contrast of the optical image, and the half-pitch of the line-and-space pattern, respectively. Poly(-hydroxystyrene) was assumed as a backbone polymer for chemically amplified resists. Exposure dose was changed from 5 to 7 mj cm -. p / was nm. The exposed area was p / Table I. Parameters used in simulation. Optical contrast Resist thickness (nm) Absorption coefficient (µm - )[7] Resist film density (g cm - )[] Thermalization distance (nm)[9] Acid generator concentration C AG (wt%) Reaction radius of acid generator (nm)[9] Effective reaction radius for neutralization (nm) Effective reaction radius for deprotection R eff (nm) Molecular weight of polymer Molecular weight of monomer unit Protection ratio (%) Deprotonation efficiency of proton source[] Deprotonation efficiency of nonproton source Diffusion constant of acids (nm s - ) Diffusion constant of quenchers (nm s - ).-. 5...,.7.5.,..... nm. EUV photons were randomly injected into the target area in accordance with the photon intensity expressed by Eq. (). The injected photons were randomly absorbed by the resist films in accordance with Lambert s law. The electron trajectories after EUV absorption and the reaction of electrons with acid generators were calculated in accordance with the reported procedure.[] The acid generator concentrations were and wt% in a triphenylsulfonium-triflate (TPS-Tf) equivalent. The corresponding quantum efficiencies of acid generation were. and.5, respectively. The decrease in proton yield caused by the protection of the proton source (hydroxyl group)[] was taken into account in the calculation of quantum efficiency. The preneutralization of acids before PEB[,5] was assumed because an annealing-type resist was generally used in EUV lithography. The proton migration range at room temperature was set to. nm.[] Using the acid distribution after the preneutralization as the initial condition, the catalytic chain reaction during PEB was calculated by a Monte Carlo method. An acid molecule was assumed to diffuse in the resist matrix with a paired form of a proton and a counteranion at an elevated temperature. The motion of the acid and quencher molecules at each time step dt is given by represents the diffusion constant of the acid or quencher molecule. The direction of motion was determined using uniform random variables. The Ddt, where D

J. Photopolym. Sci. Technol., Vol. 7, No., periodic boundary condition was applied in the horizontal direction. The reflective boundary condition was applied in the vertical direction. During PEB processes, when the acid molecule reached a quencher molecule within the effective reaction radius for neutralization, the acid molecule was regarded to be lost through neutralization. When the acid molecule reached a protected unit of the polymer within the effective reaction radius for deprotection, R eff, the acid molecule was regarded to induce the deprotection of the polymer. The effective reaction radii for deprotection were. and. nm. The parameters used in the simulation are summarized in Table I.[7-] Other details of the reaction mechanisms have been reported elsewhere.[,]. Results and discussion Figure shows the representative calculation results. The latent image of the line-and-space pattern calculated with an optical contrast of. is shown in Fig.. Quencher concentration, PEB time, and dissolution point were optimized to maximize the chemical gradient at the intended boundary (x=±p / /). The initial number of protected units connected to a polymer molecule before PEB was on average. By changing the initial standard deviation of the number of protected units connected to a polymer molecule from to., the standard deviation after PEB (final standard deviation) was calculated as shown in Fig.. The fluctuation in the number of protected units near the intended boundary leads to the fluctuation of the crossing point between the latent image and the dashed line marked by (dissolution point) and results in LER.[] The relationships among LER, the chemical gradient, and the stochastic effect have been discussed in detail.[-] The fluctuation in the number of protected units near the center of the line pattern leads to the generation of pinching.[] It has been reported that the elimination of pinching is important for the development of chemically amplified resists with sub-5 nm resolution.[] Also, the fluctuation in the number of protected units near the center of space leads to the generation of bridges.[] The dependence of the protected unit fluctuation at x=±p / and on half-pitch has been investigated. It has been reported that the probability for the stochastic defect generation rapidly increases with the reduction in half-pitch.[5] In this study, such dependence on optical contrast is discussed from..5 - Distance, x (nm) - Distance, x (nm) Ave. Ave...5 Fig.. Latent images of nm line-and-space patterns generated with optical contrasts of. and.. The profiles of the standard deviations of the number of protected units connected to a polymer molecule are also shown. The acid generator concentration was wt%. The effective reaction radius for deprotection was. nm. The exposure dose was mj cm -. The quencher concentration, PEB time, and dissolution point were optimized to maximize the chemical gradient at the intended boundary.,, and represent the average numbers of protected units connected to a polymer molecule at x=±p /,, and ±p / /, respectively. is the standard deviation of the number of protected units connected to a polymer molecule before PEB. the viewpoints of LER, pinching, and bridges. As shown in Fig., the final standard deviation depended on the x position and initial standard deviation. The difference between the average numbers of protected units at x=±p / and ±p / / in σ unit, ( - )/σ L, was calculated to be.,.7, and. for =,.5, and., respectively. σ L is the standard deviation of the number of protected units connected to a polymer molecule at x=±p /. For ESR, ( - )/σ L should be more than.-. for the elimination of pinching over a. µm line length.[] The chemical gradient at ±p / / was. nm -. The final standard deviations at ±p / / were.75,., and. for =,.5, and., respectively. If these values are applied in the case of ESR, LER was calculated to

J. Photopolym. Sci. Technol., Vol. 7, No., be.,., and. nm for =,.5, and., respectively. The required LER is. nm for the nm node. Also, the difference between the average numbers of protected units at x= and ±p / / in σ unit, ( - )/σ S, was calculated to be.7,., and. for =,.5, and., respectively. σ S is the standard deviation of the number of protected units connected to a polymer molecule at x=. For ESR, ( - )/σ S should be more than.5-. for the elimination of bridges over a. µm line length.[] By decreasing optical contrast from. to., a similar calculation was carried out as shown in Fig.. Quencher concentration, PEB time, and dissolution point were optimized for an optical contrast of.. By decreasing optical contrast, not only the latent image quality but also the profile of the final standard deviation changed. ( - )/σ L decreased to.9,., and.7 for =,.5, and., respectively. The chemical gradient decreased to.9 nm -. The final standard deviations at ±p / / were.,.9, and.7, respectively. LER was calculated to be.7,., and. nm for =,.5, and., respectively. ( - )/σ S was calculated to be.,.79, and.75 for =,.5, and., respectively. Thus, the stochastic effects significantly depended on optical contrast. Details are discussed in the following sections. In our previous studies,[-5] we have discussed the latent image quality including the protected unit fluctuation mainly assuming an acid generator concentration of wt% in a TPS-Tf equivalent and an effective reaction radius of. nm for deprotection. The corresponding quantum efficiency was.. Effective reaction radius was assumed on the basis of the analysis results of the resists called the SSR series.[-] The dependence of chemical gradient on optical contrast was calculated assuming the same parameters as in our previous studies, as shown in Fig.. Chemical gradient deteriorated with decreasing optical contrast. When the optical contrast was., the corresponding LER was. nm at an exposure dose of mj cm - with the assumption of =. LER was increased to.7 nm by decreasing optical contrast to.. This value is markedly different from the required value. Acid generator concentration and effective reaction radius were increased to wt% in TPS-Tf equivalent and. nm, respectively, to decrease LER to a realistic value. The effective reaction radius of. nm itself is not unrealistic, because the effective reaction radius for ESR has recently Normalized chemical gradient (nm - ) Normalized chemical gradient (nm - )............... 5 5 7..9..7..5...9..7..5. Fig.. Dependence of chemical gradient on optical contrast. and were calculated with the parameter sets (R eff, C AG ) = (., ) and (., ), respectively. The numerical values on the right sides of the graphs denote the optical contrast. been reported to be. nm.[9] The calculation result is shown in Fig.. Chemical gradient was roughly increased twofold. Namely, LER was roughly reduced by half. The quantum efficiency corresponding to wt% TPS-Tf was.5. By increasing acid generator concentration and effective reaction radius, the dependence of chemical gradient on optical contrast became weaker. In this study, the relationship between stochastic effects and optical contrast is discussed assuming an acid generator concentration of wt% and an effective reaction radius of. nm. Figure shows the dependence of optimum acid diffusion length on optical contrast. The acid diffusion length optimized in terms of the chemical gradient did not depend on optical contrast. Also, it was confirmed that optimum acid diffusion length was independent of acid generator concentration, namely, the quantum efficiency of aid generation. However, optimum acid diffusion length depended on the effective reaction radius for deprotection. The optimum acid diffusion lengths for nm line-and-space patterns calculated at an acid generator concentration of wt% and an effective

J. Photopolym. Sci. Technol., Vol. 7, No., Acid diffusion length (nm) R eff.. Contrast... Fig.. Dependence of optimum acid diffusion length on optical contrast. Acid diffusion length was optimized in terms of the chemical gradient for each exposure dose. The acid generator concentration was wt%. reaction radius of. nm were.,., and. nm at,, and mj cm - exposure doses, respectively. The optimum acid diffusion lengths calculated at an acid generator concentration of wt% and an effective reaction radius of. nm were 7., 7., and 7. nm at,, and mj cm - exposure doses, respectively. It has been reported that the optimum acid diffusion lengths in nm line-and-space patterns are.,., and 9.9 nm at,, and mj cm - exposure doses, respectively.[,] In the nm node, acid diffusion length should be reduced roughly by %, compared with that in the nm node if the same effective reaction radius is assumed. By changing exposure dose, the average numbers of protected units at x=±p /,, and ±p / / were calculated. Quencher concentration and PEB time were optimized to maximize the chemical gradient for each exposure dose. Figure shows the dependences of,, and on optical contrast. With a decrease in optical contrast, decreased and increased. did not significantly depend on optical contrast. The difference between and and that between and were decreased by decreasing optical contrast. The exposure dose dependence of the standard deviation of the number of protected units connected to a polymer molecule at x=±p / after PEB was calculated. Figure 5 shows the effect of optical contrast on the final standard deviation at x=±p /. The dependence calculated with an optical contrast of. is shown in Fig. 5. When the initial standard deviation was., the final standard deviation did not markedly depend on exposure dose. With the decrease in the initial standard deviation, the exposure dose dependence Fig.. Exposure dose dependence of average number of protected units connected to a polymer molecule at x=±p / ( ), ( ), and ±p / / ( ). The optical contrasts were.,., and.. The acid generator concentration was wt%. The effective reaction radius for deprotection was. nm. increased. The final standard deviation significantly decreased with the increase in exposure dose when the initial standard deviation was close to. When optical contrast was decreased, a similar trend was observed as shown in Figs. 5 and. For =., the final standard deviation did not depend on optical contrast. However, the final standard deviation was increased by decreasing optical contrast at <.. Also, the exposure dose dependence was markedly abrogated by decreasing optical contrast. Consequently, the final standard deviation significantly differed in the high-exposure-dose 5

J. Photopolym. Sci. Technol., Vol. 7, No.,..5..5..5......... Fig. 5. Exposure dose dependence of the standard deviation of the number of protected units connected to a polymer molecule at x=±p /. The optical contrasts were.,., and.. The acid generator concentration was wt%. The effective reaction radius for deprotection was. nm. was changed from to.5,.,.5,.,.5, and.. region for a different optical contrast when the initial standard deviation was close to. These results indicate that the protected unit fluctuation can be suppressed by decreasing the initial standard deviation and increasing exposure dose. However, this effect is abrogated when the optical image is degraded by optimizing the process conditions in terms of the chemical gradient. Next, the exposure dose dependence of the final standard deviation at x=±p / / was calculated. Figure shows the effect of optical contrast on the final standard deviation at x=±p / /. The final Fig.. Exposure dose dependence of the standard deviation of the number of protected units connected to a polymer molecule at x=±p / /. The optical contrasts were.,., and.. The acid generator concentration was wt%. The effective reaction radius for deprotection was. nm. was changed from to.5,.,.5,.,.5, and.. standard deviation did not depend on optical contrast. The dependence of final standard deviation on the initial standard deviation became lower than that at x=±p /. Finally, the exposure dose dependence of the final standard deviation at x= was calculated. Figure 7 shows the effect of optical contrast on the final standard deviation at x=. Unlike the case for the acid generator concentration of wt% and the effective reaction radius of. nm,[5] the final standard deviation did not significantly depend on the initial standard deviation at x=. At an exposure

J. Photopolym. Sci. Technol., Vol. 7, No.,... Fig. 7. Exposure dose dependence of the standard deviation of the number of protected units connected to a polymer molecule at x=. The optical contrasts were.,., and.. The acid generator concentration was wt%. The effective reaction radius for deprotection was. nm. was changed from to.5,.,.5,.,.5, and.. dose of 5 mj cm -, the final standard deviation was slightly increased by decreasing optical contrast. At an optical contrast of., the final standard deviation was decreased by increasing exposure dose. However, the dependence of the final standard deviation on exposure dose was decreased by decreasing optical contrast. Therefore, the suppression of the protected unit fluctuation by increasing exposure dose cannot be expected when optical contrast is reduced. From the results shown in Figs. and 5, the exposure dose dependence of ( - )/σ L at ( - )/σ L ( - )/σ L ( - )/σ L.5..5..5..5..5...5..5..5.......... Fig.. Exposure dose dependence of ( - )/σ L at x=±p /. The optical contrasts were.,., and.. The acid generator concentration was wt%. The effective reaction radius for deprotection was. nm. was changed from to.5,.,.5,.,.5, and.. The dashed lines (.σ) represent the difference between the average number of protected units and the dissolution point at which severe pinching of resist lines started to be observed for ESR (. µm length) and are shown for reference. x=±p / was calculated. Figure shows the effect of optical contrast on ( - )/σ L. The difference required for the elimination of line breaks and severe pinching within a. µm line length in the case of ESR is shown for reference in Fig..[] A larger difference is considered to be required for the long-range elimination of line breaks and pinching. When the initial standard deviation was close to, ( - )/σ L decreased with decreasing.5..5..5....... 7

J. Photopolym. Sci. Technol., Vol. 7, No., optical contrast owing to the decrease in -. However, ( - )/σ L decreased with decreasing optical contra st owing to not only the decrease in - but also the increase in σ L when the initial standard deviation was close to. In the nm node, the decrease in the initial standard deviation is probably required for the suppression of pinching.[5] The increase of the protected unit fluctuation at x=±p / caused by the optical contrast degradation is a significant problem. From the results shown in Figs. and 7, the exposure dose dependence of ( - )/σ S at x= was calculated. Figure 9 shows the effect of optical contrast on ( - )/σ S. The difference required for the elimination of bridges within a. µm line length in the case of ESR is shown for reference in Fig. 9.[] Similarly to the pinching, a higher difference is considered necessary for the long-range elimination. ( - )/σ S decreased with decreasing optical contrast. This was caused by the decrease in - and the increase in σ S.. Conclusions The relationships between stochastic phenomena (LER and stochastic defect generation) and optical contrast were investigated using a Monte Carlo simulation on the basis of the reaction mechanisms of chemically amplified EUV resists. Optical contrast did not affect the protected unit fluctuation at the boundary between lines and spaces. When the optical image was degraded, LER increased because of the decrease in chemical gradient. However, the protected unit fluctuation at the centers of lines and spaces increased with a decrease in optical contrast. Also, the difference between the average number of protected units at the center of lines or spaces ( or ) and the dissolution point ( ) decreased with decreasing optical contrast. The stochastic defect generation was basically affected more by the optical contrast degradation than LER. Acknowledgement This work was partially supported by the New Energy and Industrial Technology Development Organization (NEDO). References. S. Wurm, Jpn. J. Appl. Phys., (7) 5.. T. Itani, J. Photopolym. Sci. Technol., ().. K. Maruyama, H. Nakagawa, S. Sharma, Y. Hishiro, M. Shimizu, and T. Kimura, Proc. ( - )/σ S ( - )/σ S ( - )/σ S.5..5..5...5..5...5..5.... Fig. 9. Exposure dose dependence of ( - )/σ S at x=. The optical contrasts were.,., and.. The acid generator concentration was wt%. The effective reaction radius for deprotection was. nm. was changed from to.5,.,.5,.,.5, and.. The dashed lines (.75σ) represent the difference between the average number of protected units and the dissolution point at which bridges disappeared for ESR (. µm length) and are shown for reference. SPIE, 5 () 5A.. H. Tsubaki, S. Tarutani, N. Inoue, H. Takizawa, and T. Goto, Proc. SPIE, 79 () 795. 5. Y. Ekinci, M. Vockenhuber, M. Hojeij, L. Wang, and N. Mojarad, Proc. SPIE, 79 () 79.. R. Peeters, S. Lok, E. Alphen, N. Harned, P. Kuerz, M. Lowisch, H. Meijer, D. Ockwell, E. Setten, G. Schiffelers, J.-W. Horst, J.

J. Photopolym. Sci. Technol., Vol. 7, No., Stoeldraijer, R. Kazinczi, R. Droste, H. Meiling, and R. Kool, Proc. SPIE, 79 () 79F. 7. M. D. Smith, J. Biafore, and C. Fang, Proc. SPIE, ().. G. Gallatin, P. Naulleau, and R. Brainard, Proc. SPIE, () C. 9. W. Gao, A. Philippou, U. Klostermann, J. Siebert, V. Philipsen, E. Hendrickx, T. Vandeweyer, and G. Lorusso, Proc. SPIE, () D.. C. A. Mack, Proc. SPIE, 5 () 5K.. H. Ito, Microlithography/Molecular Imprinting (Springer, Heidelberg, 5) Advances in Polymer Science Series, Vol. 7, p. 7.. T. Kozawa, S. Tagawa, H. B. Cao, H. Deng, and M. J. Leeson, J. Vac. Sci. Technol. B, 5 (7).. T. Kozawa, Jpn. J. Appl. Phys., 5 () 5.. T. Kozawa, Jpn. J. Appl. Phys., 5 () 5. 5. J. J. Santillan, K. Yamada, and T. Itani, Appl. Phys. Express, 7 () 5.. G. M. Gallatin, Proc. SPIE, 575 (5). 7. T. Kozawa, S. Tagawa, J. J. Santillan, M. Toriumi, and T. Itani, J. Vac. Sci. Technol. B, 5 (7) 95.. T. Kozawa, J. J. Santillan, and T. Itani, Jpn. J. Appl. Phys., 5 () 75. 9. T. Kozawa, J. J. Santillan, and T. Itani, Appl. Phys. Express, () 5.. T. Kozawa and S. Tagawa, Jpn. J. Appl. Phys., 9 ().. T. Itani and T. Kozawa, Jpn. J. Appl. Phys., 5 ().. T. Kozawa and S. Tagawa, Jpn. J. Appl. Phys., 5 () 755.. H. Yamamoto, T. Kozawa, A. Nakano, K. Okamoto, S. Tagawa, T. Ando, M. Sato, and H. Komano, Jpn. J. Appl. Phys., (5) 5.. K. Natsuda, T. Kozawa, K. Okamoto, and S. Tagawa, Jpn. J. Appl. Phys., 5 () L5. 5. K. Natsuda, T. Kozawa, K. Okamoto, and S. Tagawa, Jpn. J. Appl. Phys., (7) 75.. T. Kozawa, Jpn. J. Appl. Phys., 5 () 5. 7. R. Hirose, T. Kozawa, S. Tagawa, T. Kai, and T. Shimokawa, Jpn. J. Appl. Phys., (7) L979.. T. Fukuyama, T. Kozawa, S. Tagawa, R. Takasu, H. Yukawa, M. Sato, J. Onodera, I. Hirosawa, T. Koganesawa, and K. Horie, Appl. Phys. Express, () 5. 9. T. Kozawa and S. Tagawa, Jpn. J. Appl. Phys., 5 () 9.. H. Yamamoto, T. Kozawa, A. Nakano, K. Okamoto, Y. Yamamoto, T. Ando, M. Sato, H. Komano, and S. Tagawa, Jpn. J. Appl. Phys., () L.. T. Kozawa, Jpn. J. Appl. Phys., 5 () 5.. T. Kozawa, Jpn. J. Appl. Phys., 5 () 5.. T. Kozawa, J. J. Santillan, and T. Itani, Jpn. J. Appl. Phys., 5 () 5.. T. Kozawa, J. Photopolym. Sci. Technol., (). 5. T. Kozawa, J. J. Santillan, and T. Itani, to be published in Jpn. J. Appl. Phys., 5 ().. T. Kozawa, H. Oizumi, T. Itani, and S. Tagawa, Appl. Phys. Express, () 5. 7. T. Kozawa, H. Oizumi, T. Itani, and S. Tagawa, Jpn. J. Appl. Phys., 9 () 5.. T. Kozawa, H. Oizumi, T. Itani, and S. Tagawa, Jpn. J. Appl. Phys., 9 () 55. 9. T. Kozawa, H. Oizumi, T. Itani, and S. Tagawa, Jpn. J. Appl. Phys., 5 () 75.. T. Kozawa, H. Oizumi, T. Itani, and S. Tagawa, Jpn. J. Appl. Phys., 5 () 5.. T. Kozawa and T. Hirayama, Jpn. J. Appl. Phys., 5 () 5. 9