University of California, Santa Barbara Santa Barbara, California 93106

Similar documents
ETCHING Chapter 10. Mask. Photoresist

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Reactive Ion Etching (RIE)

Wet and Dry Etching. Theory

Etching Capabilities at Harvard CNS. March 2008

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

EE C245 ME C218 Introduction to MEMS Design Fall 2007

CHAPTER 6: Etching. Chapter 6 1

Section 3: Etching. Jaeger Chapter 2 Reader

Device Fabrication: Etch

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

Etching: Basic Terminology

LECTURE 5 SUMMARY OF KEY IDEAS

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher

Plasma etching. Bibliography

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

Regents of the University of California

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

EE C245 ME C218 Introduction to MEMS Design Fall 2007

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas

Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges

Chapter 7. Plasma Basics

Chapter 7 Plasma Basic

ELEC 7364 Lecture Notes Summer Etching. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA

The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas*

Profile simulation of gas chopping based etching processes

Table of Content. Mechanical Removing Techniques. Ultrasonic Machining (USM) Sputtering and Focused Ion Beam Milling (FIB)

Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation

Tool- and pattern-dependent spatial variations in silicon deep reactive ion etching

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

Proceedings Silicon Sacrificial Layer Technology for the Production of 3D MEMS (EPyC Process)

EFFECT OF OXYGEN ADDITION ON SIDEWALLS OF SILICON SQUARE MICRO-PIT ARRAYS USING SF 6 BASED REACTIVE ION ETCHING

Technology for Micro- and Nanostructures Micro- and Nanotechnology

MICROCHIP MANUFACTURING by S. Wolf

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013

Lithography and Etching


Numerical Simulation of Bosch Processing for Deep Silicon Plasma Etching

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4

Microchannel Systems in Titanium and Silicon for Structural and Mechanical Studies of Aligned Protein Self-Assemblies

Nanostructures Fabrication Methods

Clean-Room microfabrication techniques. Francesco Rizzi Italian Institute of Technology

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey

Plasma Deposition (Overview) Lecture 1

EECS C245 ME C218 Midterm Exam

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6

Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I.

SUPPLEMENTARY INFORMATION

Comparative Studies of Perfluorocarbon Alternative Gas Plasmas for Contact Hole Etch

Chapter 9, Etch. Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm

Time-of-Flight Flow Microsensor using Free-Standing Microfilaments

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS 1 MDL NTHU

CVD: General considerations.

Continuous and Cyclic Deep Reactive Ion etching of Borosilicate Glass by Using SF 6 and SF 6 /Ar Inductively Coupled Plasmas

Fabrication Technology, Part I

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

Characterization of low pressure plasma-dc glow discharges (Ar, SF 6 and SF 6 /He) for Si etching

Modeling of MEMS Fabrication Processes

Foundations of MEMS. Chang Liu. McCormick School of Engineering and Applied Science Northwestern University. International Edition Contributions by

Supplementary Information. Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction

Plasma Processing of Large Curved Surfaces for SRF Cavity Modification

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

EE C245 ME C218 Introduction to MEMS Design Fall 2007

SUBSTITUTING particle-sensitive check-valves in micropumps

6.5 Optical-Coating-Deposition Technologies

Dielectric Meta-Reflectarray for Broadband Linear Polarization Conversion and Optical Vortex Generation

SILICON DIOXIDE TO POLYSILICON SELECTIVITY OF A C2F6/CHF3 DRY ETCH PROCESS

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas

Tolerance analysis for comb-drive actuator using DRIE fabrication

EE-612: Lecture 22: CMOS Process Steps

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide

experiment (DOE) is performed to characterize the effect of ICP power, RIE power,

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

RESEARCH ON BENZENE VAPOR DETECTION USING POROUS SILICON

Thin Wafer Handling Challenges and Emerging Solutions

Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas

Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma

Control of deposition profile of Cu for largescale integration (LSI) interconnects by plasma chemical vapor deposition*

Effect of Low-Frequency Power on Etching Characteristics of 6H-SiC in C 4 F 8 /Ar Dual-Frequency Capacitively Coupled Plasma

Advances in Back-side Via Etching of SiC for GaN Device Applications

K. Takechi a) and M. A. Lieberman Department of Electrical Engineering and Computer Sciences, University of California, Berkeley, California 94720

Introduction to Plasma Etching

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage

Repetition: Practical Aspects

Fast Bonding of Substrates for the Formation of Microfluidic Channels at Room Temperature

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Transcription:

HIGH-ASPECT-RATIO INDUCTIVELY COUPLED PLASMA ETCHING OF BULK TITANIUM FOR MEMS APPLICATIONS E. R. Parker 1, M. F. Aimi 2, B. J. Thibeault 3, M. P. Rao 1, and N. C. MacDonald 1,2 1 Mechanical and Environmental Engineering Department 2 Materials Department 3 Electrical and Computer Engineering Department University of California, Santa Barbara Santa Barbara, California 9316 Titanium is a promising new material system for the bulk micromachining of MEMS devices. Titanium-based MEMS have the potential to be used for applications involving harsh environments, high fracture toughness requirements, or biocompatibility. The bulk titanium etch rate and TiO 2 mask selectivity in an Inductively Coupled Plasma (ICP) as a function of various process parameters is presented, and optimized conditions are used to develop the Titanium ICP Deep Etch (TIDE) process. The TIDE process is capable of producing high-aspectratio structures with smooth sidewalls at etch rates in excess of 2 µm/min, thus providing a powerful new means for the microfabrication of titaniumbased MEMS devices. INTRODUCTION Traditionally, microelectromechanical systems (MEMS) have relied heavily on materials used in integrated circuit fabrication, such as single crystal silicon. However, MEMS performance may be somewhat limited by the intrinsic properties of these materials. Therefore, additional material systems are being considered as potential candidates for MEMS devices. For example, the relative ductility of metals may reduce the risk of failure associated with brittle silicon (1). Recent developments have allowed for the realization of bulk titanium MEMS for devices that require higher fracture toughness or resistance to harsh environments (2). Titanium may also serve as a potential substrate for in vivo applications as it is widely reported to be biocompatible (3). However, in order for titanium micromachining to become competitive, high etch rates, high aspect ratios, and high mask selectivity are essential. This paper reports on the development of a new high-aspect-ratio titanium micromachining method, the Titanium ICP Deep Etch (TIDE) process, which satisfies these requirements. To date, the majority of research on titanium dry etching has been performed on deposited thin films and implements fluorine- and/or chlorine-based chemistries (4-8). Reported gases suitable for titanium etching include: CCl 4 /O 2 with additions of fluorine containing gases (4); CCl 4 /CCl 2 F 2 with admixtures of O 2 (5); Cl 2 /BCl 3 (6); Cl 2 /N 2 (7); CF 4, CF 4 /O 2, SiCl 4, SiCl 4 /CF 4, and CHF 3 (8); CF 4 /O 2 (9); and SF 6 (1). Although titanium thin films are commonly used in microelectronics, micromechanical structures dry etched into titanium thin films have only recently been demonstrated (11). However, because thin films limit aspect ratio and often contain residual stresses, bulk titanium may be a more suitable option for certain MEMS applications.

Dry etching of high-aspect-ratio structures in bulk titanium using a cyclic Cl 2 /Ar process has been demonstrated by the Metal Anisotropic Reactive Ion etching with Oxidation (MARIO) process, but with relatively low etch rates (2). This work describes the characterization of bulk titanium deep etching using Cl 2 /Ar chemistry with an Inductively Coupled Plasma (ICP) source. Etch rate and selectivity to a TiO 2 masking layer have been studied over a large parameter space on patterned titanium samples. The ICP source power, sample RF power, process pressure, and gas flow rates were varied individually and plotted to determine first order trends associated with each parameter. Based on the results of this etch characterization, parameters were optimized to etch highaspect-ratio microstructures into thick titanium substrates using the TIDE process. Bulk titanium etch rates in excess of 2 µm/min with high mask selectivity (4:1, Ti:TiO 2 ) were realized. However, unlike the MARIO process, the TIDE process is non-cyclic and results in exceptionally smooth sidewalls due to the elimination of sidewall scalloping. EXPERIMENTAL Chemically mechanically polished titanium sheets (Tokyo Stainless Grinding Co., Ltd, Tokyo, Japan) approximately 5 µm thick were used for all reported experiments. Average surface roughness measurements were taken using an optical profilometer (Wyko NT 11, Veeco Instruments, Inc., Woodbury, New York) and were found to be between 5 and 7 nm RMS for each sheet. The titanium sheets were sectioned into 2.5 cm x 2.5 cm specimens using a mechanical shearing tool (24 Bench-Top Square Cut Shears, McMaster-Carr, Los Angeles, CA) and then cleaned in acetone and isopropanol with ultrasonic agitation prior to processing. The etch characterization process steps included: 1) TiO 2 mask deposition; 2) photolithographic patterning; 3) mask oxide etching; 4) and titanium deep etching. The oxide etch and titanium deep etch were both performed using the same ICP etch tool (Panasonic E64-ICP dry etching system, Panasonic Factory Solutions, Osaka, Japan), which is shown schematically in Figure 1. Etched samples were mounted onto a 6-inch silicon wafer using diffusion pump fluid (Santovac 5, polyphenyl ether pump fluid, Santovac Fluids, Inc., St. Charles, Missouri) to create adequate thermal conductivity between the carrier wafer and the sample. The lower electrode of the etching tool was held constant at 2 C and helium backside cooling was used to maintain carrier wafer temperature during all characterization runs. The TiO 2 etch mask was deposited using reactive sputtering (Endeavor 3 cluster sputter tool, Sputtered Films, Santa Barbara, California) with a titanium target in an O 2 /Ar environment using the following process conditions: 1 sccm O 2, 2 sccm Ar, and 23 W power. Process pressure was approximately 5.2 mt. Each sample was sputtered for 45 s, resulting in an average film thickness of 1.25 µm. Features were then patterned onto the TiO 2 mask using 3 µm thick photoresist (SPR 22-3., Shipley, Marlborough, Massachusetts). The photoresist pattern was transferred into the oxide using a CHF 3 chemistry under the following conditions: 5 W ICP source power (13.56 MHz), 4 W sample RF power (13.56 MHz), 1 Pa pressure, and 4 sccm CHF 3. Each sample was etched for 1 min, removed from the carrier wafer, and then cleaned in acetone and isopropanol with

ultrasonic agitation. The remaining fluorinated photoresist was then removed using an O 2 plasma (PEII-A Plasma System, Technics) under the following conditions: 3 mt pressure, 1 W power. After cleaning, the patterned sample was remounted onto a silicon carrier wafer for the titanium deep etch. Each sample was etched in a Cl 2 /Ar chemistry for 2 min with a specified parameter set and only a single parameter was varied for each etch. Unless otherwise stated, all other parameters were held constant at the following values: 4 W ICP source power, 1 W sample RF power, 2 Pa pressure, 1 sccm Cl 2, and 5 sccm Ar. Etch depths ranged from approximately.5 microns to 4.7 µm over the chosen parameter space. Etch depth and mask thicknesses were measured for each sample using a high resolution scanning electron microscope (FEI XL4 Sirion FEG Digital Scanning Microscope, FEI, Hillsboro, Oregon). Measurements were taken on 1.5 µm wide lines imaged at a 45 tilt angle at five random locations across the sample and averaged. These values were then compared to combined etch depth and mask thickness measurements taken using a contact stylus profilometer (Dektak IIA profilometer, Sloan) to ensure consistency. Data points were plotted to determine first order trends for each etch parameter. These trends were then used to develop the TIDE process. The high-aspectratio etching of bulk titanium followed the same general process flow used by the etch characterization runs. Several different features types were etched, including a submicrometer geometric array, a 1 µm minimum feature size comb drive, and a 1 µm wide beam structure. RESULTS AND DISCUSSION Etch Characterization Plasma-assisted dry etching is a combination of both physical etching through ion bombardment and chemical etching through reactive species at the substrate surface (12). Complete decoupling of these two etching mechanisms is difficult and the relative contributions of each can vary significantly with etch conditions. During etching, surfaces are subjected to an incident flux of ions, radicals, electrons, and neutrals. In general, physical processes are controlled by ion flux and chemical processes are controlled by both ion and radical flux (13). Titanium etching relies more heavily on chemical processes, while TiO 2 etching depends more upon physical etching (8). As a result, titanium etching will most likely be driven by chemical mechanisms and reactive species availability, whereas TiO 2 etching will depend more strongly on ion bombardment. Bulk titanium etch rate and TiO 2 mask selectivity as a function of ICP source power are shown in Figure 2. Etch rate initially increases significantly with source power and then levels off for powers above 4 W. TiO 2 mask selectivity, in contrast, decreases significantly with source power initially and then remains constant for values above 4 W. It is assumed that the etching mechanism associated with the chlorine etching of bulk titanium is chemically similar to that of titanium thin film etching discussed in the literature. Titanium tetrachloride TiCl 4 is the most volatile etch compound with a boiling

temperature of 136.4 C (4,6). However, both TiCl 4 and TiCl 2 (boiling temperature = 1327 C) have been detected as reaction products (6,14). As molecular Cl 2 is introduced into the discharge, a percentage will be ionized or dissociated into atomic Cl. Increased source power will lead to an increase in this ionization and dissociation, resulting in higher ion and radical densities (7). Below 4 W, the etching of bulk titanium is most likely ion and radical limited, resulting in a decrease in overall chemical reaction and etch rate. As the reactive species density is increased with increasing power, the etch rate will also increase. For values higher than 4 W, the ionization and dissociation of chlorine is no longer the limiting factor and the etch rate is most likely controlled by other processes, such as the supply rate of the reactive chlorine species, the reactive species transport rate to the substrate surface, or the chemical reaction rate at the surface. This causes the etch rate to level for values above 4 W if all other parameters are held constant. The TiO 2 selectivity is high for low ICP source power due to low ion concentrations leading to reduced ion bombardment. As the source power is increased, the incident ion flux increases and TiO 2 selectivity decreases. For values greater than 4 W, the selectivity remains low. In general, ICP source power was found to have the largest effect on TiO 2 selectivity, dropping the selectivity from roughly 215:1 (Ti:TiO 2 ) to 8:1. Figure 3 shows the bulk titanium etch rate and TiO 2 selectivity as a function of sample RF power, or substrate bias. The titanium etch rate increases with increasing substrate bias but then remains relatively constant for values above 1 W. The TiO 2 selectivity decreases with increasing substrate bias. Bias will control the ion incident energy on the surface of the substrate (12). Though the etch rate of titanium is more dependent on chemical processes, energetic ions will assist the removal of material from the substrate. Therefore, for values below 1 W, the level of incident ion energy may limit the titanium etch rate. For values above 1 W, the ion energy is no longer the limiting factor and the titanium etch rate is most likely dependent on other factors associated with the plasma conditions. The TiO 2 selectivity, on the other hand, decreases continuously with increasing substrate bias due to a strong dependence on ion bombardment energy. The relative change in selectivity is much less than that associated with ICP source power, decreasing from roughly 16:1 to 6:1. Figure 4 shows the bulk titanium etch rate and TiO 2 mask selectivity as a function of pressure. Etch rate and TiO 2 selectivity both increase significantly with pressure. As pressure is increased, less directional etching associated with an increase in randomized collisions between particles will occur (15). In this regime, chemical effects are dominant (12) and directional ion bombardment will be reduced. This will lead to increased titanium etch rates and decreased TiO 2 etch rates. The TiO 2 selectivity changes from roughly 3:1 for a process pressure of 1 Pa to 45:1 at 4 Pa. Therefore, pressure has the second largest effect on selectivity after ICP source power. However, higher pressure will also result in a more isotropic etch profile (15). Therefore, for the etching of highaspect-ratio features a trade-off between mask selectivity and etch anisotropy must be taken into consideration when determining optimal process pressure. Figure 5 shows the bulk titanium etch rate and TiO 2 mask selectivity as a function of Cl 2 gas flow rate. Etch rate increases significantly between 2 and 4 sccm and then remains somewhat constant, increasing only slightly for higher flow rates. The availability of the reactant species within the plasma is determined by the rate of

introduction to the discharge versus the rate of chemical reaction with the substrate (7). The chlorine reactant species will be introduced to the plasma through atomic dissociation and ionization of the incoming gas flow. Higher gas flow rates will result in shorter molecular residence times within the plasma which will, in turn, reduce the percentage of dissociation of the incoming gas (16). The increase in etch rate between 2 and 4 sccm might reflect limitations in reactant species availability as it is lost to chemical reactions at the titanium surface. Above this value, the plasma remains saturated with the reactant species. The etch rate in this regime remains relatively constant and may be limited instead by the reaction rate at the titanium surface or by the rate of molecular dissociation. The TiO 2 mask selectivity also increases with increasing gas flow, though the relative change is small (6:1 at 2 sccm to 16:1 at 1 sccm). Figure 6 shows the bulk titanium etch rate and TiO 2 mask selectivity as a function of Ar gas flow rate. Etch rate increases slightly with the introduction of Ar to the plasma but then remains relatively constant for increasing Ar flow rates. The addition of an inert gas to a discharge is often used to control etchant concentration without varying pressure and/or to stabilize the plasma (7). The addition of Ar to a chlorine plasma has been reported to increase etch rate under constant pressure for various materials (17). Several mechanisms may be responsible for this behavior, including increased Cl 2 dissociation through interactions with metastable Ar atoms or increased surface bombardment by energetically active species (17,18). Although a slight increase in titanium etch rate is seen with the addition of a small amount of Ar, the relative change is not significant. The TiO 2 selectivity also shows some variation with increasing Ar flow rate, first decreasing with increasing Ar flow and then increasing again. These variations are not well understood at this time. Application to MEMS High-aspect-ratio features are a fundamental characteristic of many bulk micromachined MEMS applications. However, the etch characterization presented here used rather short etch rimes (2 min) which resulted in relatively low aspect ratios (maximum 3:1). During high-aspect-ratio etching, additional phenomena will occur that are not observed in this low-aspect-ratio regime. These aspect-ratio-dependent effects include but are not limited to RIE lag, bowing, faceting, and microtrenching (19). Manifestations of many of these phenomena were observed in the deep titanium etches, however further exploration of their dependence upon varying etch conditions is beyond the scope of the current work. For the purpose of this study, a preliminary baseline optimization process for deep etching was performed to demonstrate the high-aspect-ratio capability of the TIDE process. This optimization was performed using a MEMS comb drive actuator mask pattern to establish an initial baseline set of process parameters. As can be seen in Figure 7, narrow cavities within the comb drive structure are etched much slower than the surrounding open features. This can be attributed to RIE lag and is associated with local transport phenomena (2). The baseline parameter set was further optimized to etch the 1 µm wide beam structure pictured in Figure 8. The etch rate and selectivity for these etch conditions are approximately 2.2 µm/min and 4:1 (Ti:TiO 2 ), respectively. The sidewalls

produced by the TIDE process are smooth and depend primarily on the quality of the patterned TiO 2 masking layer. Sub-micrometer features were also patterned and etched, as pictured in Figure 9. The narrow trenches etched in this figure show the effects of sidewall bowing, which is most likely due to an electron shading effect associated with non-uniform charging (21). These figures are helpful in not only demonstrating the capabilities of the TIDE process, but also the challenges associated with high-aspect-ratio etching in general. As with any high-aspect-ratio etch process, thorough optimization of the TIDE process will depend strongly on the desired aspect ratios and patterns being used and, therefore, will have to be approached on an application-by-application basis. CONCLUSION The preliminary characterization of bulk titanium dry etching using an ICP source is reported. The ICP source power, sample RF power, process pressure, and gas flow rates were varied in order to determine the first order effects on etch rate and TiO 2 selectivity associated with each parameter. Based on this etch characterization, a baseline set of process parameters for the TIDE process has been developed and initial results for the high-aspect-ratio etching of bulk titanium are presented. Etch rates in excess of 2 µm/min and high mask selectivity (4:1, Ti:TiO 2 ) are shown to be possible under optimized conditions. The TIDE process offers a high etch rate, smooth sidewall alternative to the MARIO process for the etching of bulk titanium. The TIDE process expands titanium bulk micromachining capabilities and provides further potential for the realization of novel titanium-based MEMS devices. ACKNOWLEDGMENTS The authors would like to thank Abu Samah Zuruzi, Motohiko Arakawa at Tokyo Stainless Grinding, Co., Ltd., and Mitsuru Hiroshima at Panasonic Factory Solutions. This research was funded by the Microsystems Technology Office at the Defense Advanced Research Projects Agency.

REFERENCES 1. S. M. Spearing, Acta Mater., 48, 179 (2). 2. M. F. Aimi, M. P. Rao, N. C. MacDonald, A. S. Zuruzi, and D. P. Bothman, Nat. Mater., 3, 13 (24). 3. D. M. Brunette, P. Tengvall, M. Textor, and P. Thomsen, Titanium in Medicine: Material Science, Surface Science, Engineering, Biological Responses and Medical Applications, p. 3-1, Springer, Berlin (21). 4. K. Blumenstock and D. Stephani, J. Vac. Sci. Technol. B, 7, 627 (1989). 5. P. Unger, V. Bogli, and H. Beneking, Microelectron. Eng., 5, 279 (1986). 6. R d Agostino, F. Fracassi, and C. Pacifico, J. Appl. Phys., 72, 4351 (1992). 7. N. M. Muthukrishnan, K. Amberiadis, and A. Elshabini-Riad, J. Electrochem. Soc., 144, 178 (1997). 8. Y. Kuo and A. G. Schrott, in ULSI Science and Technology/1995. E. M. Middlesworth and H. Massoud, Editors, PV 95-5, p. 246, The Electrochemical Society Proceedings Series, Pennington, NJ (1995). 9. R d Agostino, F. Fracassi, C. Pacifico, and P. Capezzuto, J. Appl. Phys., 71, 462 (1992). 1. R. R. Reeves, M. Rutten, S. Ramaswami, and P. Roessle, J. Electrochem. Soc., 137, 3517 (199). 11. C O Mahoney, M. Hill, P. J. Hughes, and W. A. Lane, J. Micromech. Microeng., 12, 438 (22). 12. M. J. Madou, Fundamentals of Microfabrication, p. 78-79, 13, CRC Press LLC, Boca Raton, Florida (22). 13. S. A. Campbell, The Science and Engineering of Microelectronic Fabrication, p. 266-267, 514, Oxford University Press, New York (21). 14. W. L. O Brien, T. N. Rhodin, and L. C. Rathbun, J. Chem. Phys., 89, 5264 (1988). 15. S D. Senturia, Microsystem Design, p. 69, Kluwer Academic Publishers, Boston (21). 16. D. A. Danner and D. W. Hess, J. Appl. Phys., 59, 94 (1986). 17. A. M. Efremov, D. P. Kim, and C. I. Kim, Thin Solid Films, 435, 232 (23). 18. A. M. Efremov, D. P. Kim, and C. I. Kim, J. Vac. Sci. Technol. A, 21, 1568, (23). 19. I. W. Rangelow and H. Loschner, J. Vac. Sci. Technol. B, 13, 2394 (1995). 2. R. A. Gottscho and C. W. Jurgensen, J. Vac. Sci. Technol. B, 1, 2133 (1992). 21. I. W. Rangelow, J. Vac. Sci. Technol. A, 21, 155 (23).

Matching Network RF Generator (13.56 MHz) ICP Source Quartz Plate Wafer Gas Inlet Vacuum Chamber Lower Electrode Matching Network RF Generator (13.56 MHz) Fig. 1. Design schematic of the Panasonic E64-ICP dry etching system. A quartz plate with an ICP source is set on top of an aluminum vacuum chamber facing a 6 in carrier wafer. Two RF power sources (13.56 MHz) are applied to the ICP source and the lower electrode through a matching network. The sample carrier wafer is held to the lower electrode by an electrostatic chuck. Temperature is controlled through a helium cooling system applied to the backside of the wafer. 2 25 1.8 Etch Rate (µm/min) 1.6 1.4 1.2 1.8.6.4 Bias = 1 Watts Cl 2 = 1 sccm Ar = 5 sccm Pressure = 2 Pa Etch time = 2 min 2 15 1 5 Selectivity (Ti:TiO2).2 2 4 6 8 1 ICP Source Power (Watts) Fig. 2. Etch rate and mask selectivity versus ICP source power.

Etch Rate (µm/min) 2 1.8 1.6 1.4 1.2 1.8.6.4.2 1 2 3 4 5 Sample RF Power (Watts) ICP = 4 Watts Cl 2 = 1 sccm Ar = 5 sccm Pressure = 2 Pa Etch time = 2 min Fig. 3. Etch rate and mask selectivity versus sample RF power. 5 45 4 35 3 25 2 15 1 5 Selectivity (Ti:TiO2) Etch Rate (µm/min) 2.4 2.2 2 1.8 1.6 1.4 1.2 1.8.6.4.2 ICP = 4 Watts Bias = 1 Watts Cl 2 = 1 sccm Ar = 5 sccm Etch time = 2 min 1 2 3 4 5 Pressure (Pa) Fig. 4. Etch rate and mask selectivity versus process pressure. 5 45 4 35 3 25 2 15 1 5 Selectivity (Ti:TiO2)

Etch Rate (µm/min) 2 1.8 1.6 1.4 1.2 1.8.6.4.2 2 4 6 8 1 12 Cl 2 Gas Flow Rate (sccm) ICP = 4 Watts Bias = 1 Watts Ar = 5 sccm Pressure = 2 Pa Etch time = 2 min Fig. 5. Etch rate and mask selectivity versus Cl 2 gas flow rate. 5 45 4 35 3 25 2 15 1 5 Selectivity (Ti:TiO2) Etch Rate (µm/min) 2 1.8 1.6 1.4 1.2 1.8.6.4.2 ICP = 4 Watts Bias = 1 Watts Cl 2 = 1 sccm Pressure = 2 Pa Etch time = 2 min 5 1 15 2 25 Ar Gas Flow Rate (sccm) Fig. 6. Etch rate and mask selectivity versus Ar gas flow rate. 5 45 4 35 3 25 2 15 1 5 Selectivity (Ti:TiO2)

Fig. 7. Scanning electron micrograph of a titanium-based MEMS comb drive structure. The mask pattern was generated using stepper lithography, transferred to a sputtered TiO 2 mask via a CHF 3 oxide etch, and then the sample was deep etched for 1 minutes using the baseline TIDE process (4 W ICP source power, 1 W sample RF power, 2 Pa pressure, 1 sccm Cl 2, and 5 sccm Ar). Etch depth in the open areas of the pattern is slightly in excess of 2 µm. The reduction of etch rate within the narrow vias can be seen through the thin sidewalls of the backbone structures and is indicative of RIE lag. Fig. 8. Scanning electron micrograph of a 1 µm wide beam structure. This sample was etched for 1 minutes using the baseline TIDE process with increased sample RF power (15 W vs. 1 W) and pressure (2.5 Pa vs. 2 Pa). Mild tapering is observed, as is slight bowing.

Fig. 9. Scanning electron micrograph illustrating sub-micrometer minimum feature size capability. Etched numerals indicate feature size in micrometers. This sample was etched for 7 minutes using the baseline TIDE process.