Step and flash imprint lithography: Template surface treatment and defect analysis

Similar documents
Step and Flash Imprint Lithography: A Technology Review

Characterization and modeling of volumetric and mechanical properties for step and flash imprint lithography photopolymers

Advances in Step and Flash Imprint Lithography

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

At a Glance. If contact and imprint lithography techniques are going to supplant more established optical methods,

A New Approach to High-Resolution Patterning

Three Approaches for Nanopatterning

Vinyl ethers in ultraviolet curable formulations for step and flash imprint lithography

Formation and Surface Modification of Nanopatterned Thiol-ene Substrates using

High fidelity blazed grating replication using nanoimprint lithography

Simulation of fluid flow in the step and flash imprint lithography process

Introduction to Photolithography

Photolithography 光刻 Part II: Photoresists

Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation

Introduction. Photoresist : Type: Structure:

Lecture 14 Advanced Photolithography

Thin Wafer Handling Challenges and Emerging Solutions

High Density Silicon Dioxide Coatings by UV and Thermal Processing

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography

Hydrophilization of Fluoropolymers and Silicones

Overview of the main nano-lithography techniques

Supplementary Information. Rapid Stencil Mask Fabrication Enabled One-Step. Polymer-Free Graphene Patterning and Direct

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

5. Photochemistry of polymers

Innovative. Technologies. Chemie des Klebens Chemistry of Adhesives. Dr. Jochen Stock, Laboratory Manager CRL Germany: Neuss, November 27 th, 2013

Top down and bottom up fabrication

Effect of HF and Plasma Treated Glass Surface on Vapor Phase-Polymerized Poly(3,4-ethylenedioxythiophene) Thin Film : Part II

Copyright. Anh Quoc Nguyen

Inorganic Material chemistry

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

Effect of fluoroalkyl substituents on the reactions of alkylchlorosilanes with mold surfaces for nanoimprint lithography

Pattern Transfer- photolithography

SURFACE MODIFICATION OF POLYPROPYLENE BY PHOTOGRAFTING OF VINYL ACETATE MONOMERS

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

NanoImprint Materials. March, IBM Almaden Research Center

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Mat. Res. Soc. Symp. Proc. Vol Materials Research Society

Nanotechnology Fabrication Methods.

Lithography and Etching

Supporting information. Infrared Characterization of Interfacial Si-O Bond Formation on Silanized. Flat SiO 2 /Si Surfaces

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

Introduction to / Status of Directed Self- Assembly

Molecular structure effects on dry etching behavior of Si-containing resists in oxygen plasma

Nanolithography Techniques

Techniken der Oberflächenphysik (Techniques of Surface Physics)

Effects of PVA Brush Shape and Wafer Pattern on the Frictional Attributes of Post-CMP PVA Brush Scrubbing

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Nanostructures Fabrication Methods

Fabrication at the nanoscale for nanophotonics

MICRO AND NANOPROCESSING TECHNOLOGIES

Wafer Scale Homogeneous Bilayer Graphene Films by. Chemical Vapor Deposition

Carbon Nanotube Thin-Films & Nanoparticle Assembly

Tuning the surface properties of elastomers using hydrocarbon-based mechanically assembled monolayers

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists

SEMATECH Knowledge Series 2010

Lecture 3. Self-assembled Monolayers (SAM)

High Optical Density Photomasks For Large Exposure Applications

Characterization of Post-etch Residue Clean By Chemical Bonding Transformation Mapping

The fabrication of periodic metal nanodot arrays through pulsed laser melting induced fragmentation of metal nanogratings

SUPPLEMENTARY INFORMATION

Self-Assembled Monolayers

High-resolution Characterization of Organic Ultrathin Films Using Atomic Force Microscopy

1

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Electron-beam SAFIER process and its application for magnetic thin-film heads

Light-Induced Atom Desorption in Alkali Vapor Cells

Fabrication of ordered array at a nanoscopic level: context

Technology offer: Environmentally friendly holographic recording material

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center

BONDING PARAMETERS OPTIMIZATION IN LOW TEMPERATURE ADHESIVE WAFER BONDING PROCESS USING SU-8 AS AN INTERMEDIATE ADHESIVE LAYER

Self Assembled Monolayers

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process

Polymer Systems and Film Formation Mechanisms in High Solids, Powder, and UV Cure Systems

EE-612: Lecture 22: CMOS Process Steps

Direct write electron beam patterning of DNA complex thin films

FRAUNHOFER INSTITUTE FOR SURFACE ENGINEERING AND THIN FILMS IST ATMOSPHERIC PRESSURE PLASMA PROCESSES

Coating of Tetraethylorthosilicate (TEOS)/Vinyltriethoxysilane (VTES) Hybrid Solution on Polymer Films

EE C245 ME C218 Introduction to MEMS Design Fall 2007

Lithography. The Crystal Growth and Reticle Degradation Exposé. Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation

Van der Waals Interaction between Polymer Aggregates and Substrate Surface Analyzed by Atomic Force Microscope (AFM)

Multi-Layer Coating of Ultrathin Polymer Films on Nanoparticles of Alumina by a Plasma Treatment

Supplementary Materials for

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

The Best Kept Secret in Industry By: Stephen L. Kaplan * Plasma Technology Systems 276 Harbor Blvd. Belmont, CA 94002

Model Solutions Spring 2003

Supporting Information for. A Molecular Half-Wave Rectifier

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures

POSS for Surface Modification and and Corrosion Prevention

Formation mechanism and Coulomb blockade effect in self-assembled gold quantum dots

Effects of Solvent Acidity on the Free-Radical-Initiated Synthesis of Methanesulfonic Acid from CH 4 and SO 3

Proceedings Novel Method for Adhesion between PI-PDMS Using Butyl Rubber for Large Area Flexible Body Patches

Figure 1 below shows the generic process flow of an LELE method of double patterning.

In this place, the following terms or expressions are used with the meaning indicated:

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

Bio-compatible polymer coatings using low temperature, atmospheric pressure plasma

A Novel Approach to the Layer Number-Controlled and Grain Size- Controlled Growth of High Quality Graphene for Nanoelectronics

Transcription:

Step and flash imprint lithography: Template surface treatment and defect analysis T. Bailey, B. J. Choi, M. Colburn, M. Meissl, S. Shaya, J. G. Ekerdt, S. V. Sreenivasan, and C. G. Willson Texas Materials Institute, The University of Texas at Austin, Austin, Texas 78727 Received 1 June 2000; accepted 14 September 2000 We have finished the construction of an automated tool for step and flash imprint lithography. The tool was constructed to allow defect studies by making multiple imprints on a 200 mm wafer. The imprint templates for this study were treated with a low surface energy, self-assembled monolayer to ensure selective release at the template-etch barrier interface. This surface treatment is very durable and survives repeated imprints and multiple aggressive physical and chemical cleanings. The imprint and release forces were measured for a number of successive imprints, and did not change significantly. The process appears to be self-cleaning. Contamination on the template is entrained in the polymerizing liquid, and the number of defects is reduced with repeated imprints. 2000 American Vacuum Society. S0734-211X 00 16106-2 I. INTRODUCTION Step and flash imprint lithography SFIL is a high throughput, low cost approach to generating relief patterns with sub-100 nm linewidth. SFIL uses no projection optics, no lenses, and operates at room temperature. The process relies largely on chemical and low pressure mechanical processes to transfer patterns. SFIL is related to other micromolding or imprint processes 1 5 in that all of these use the topography of a template to define the pattern created on a substrate. The two key differences between SFIL and other imprint lithography techniques are that this process is based on a low viscosity, photocurable liquid and a transparent, rigid template. The low viscosity of the photocurable liquid eliminates the need for high temperature and pressures that can lead to substrate deformation, which can be a problem for accurate overlaying of the layers of a device. The rigid imprint template is transparent in order to allow the flood exposure of the photopolymer to achieve cure, and this combination of rigidity and transparency also enables layer-tolayer alignment for multilayer devices. We have previously described results from the step and flash process. Careful tailoring of the chemistries allowed faithful replication of any feature on the imprint template. We have patterned 60 nm lines/spaces, 6 high and low pattern density areas, and produced a functional micropolarizer array with 100 nm Ti lines/spaces. 7 SFIL has also been used to pattern directly over a nonflat substrate, 7 including curved surfaces. 8 A multi-imprint step and flash lithography machine that can perform repeated imprints on 200 nm wafers was developed for the purpose of defect analysis, and is shown in Fig. 1. This machine can imprint high resolution sub-100 nm features from quartz templates using a step and repeat process. The major machine components include the following: i a microresolution Z stage that controls the average distance between the template and the substrate and the imprinting force; ii an automated X Y stage for step and repeat positioning; iii a precalibration stage that enables attainment of parallel alignment between the template and substrate by compensating for orientation errors introduced during template installation; iv a fine-orientation flexure stage that provides a highly accurate, automatic parallel alignment of the template and wafer to the order to tens of nanometers across an inch; 9 v a flexture-based wafer calibration that orients the top of the wafer surface parallel with respect to the plane of the XY stage; vi an exposure source that is used to cure the etch barrier; vii an automated fluid delivery system that accurately dispenses known amounts of the liquid etch barrier; and viii load cells that provide both imprinting and separation force data. The multi-imprint apparatus is currently configured to handle 1 in. square template. It is used to produce more than 20 imprints on 200 mm wafers for defect studies. The installation of the template and the loading and unloading of the wafer are performed manually. The printing operations, including X Y positioning of the wafer, dispensing of etch barrier liquid, translation of the template to close the gap between the template and wafer, ultraviolet curing of etch barrier, and controlled separation are all automated. These unit processes are controlled by a LabVIEW interface. Detailed information about the major subcomponent of the system is available in a previous publication by this group. 9 II. BACKGROUND The SFIL process is shown in Fig. 2 and has been detailed previously. 6 Following exposure and curing of the photopolymer, the imprint template is drawn away from the substrate. In this step it is imperative that the etch barrier remain attached to the underlying transfer layer, and release easily and completely from the template. Consider a trench in the surface of the template with an aspect ratio of 1. This trench creates a line of etch barrier. Three sides of the structure are in contact with the imprint template and one side is in contact with the transfer layer. If the surface energy of the template and transfer layer are equal, there is a high probability that the feature will adhere to the template and rip away from 3572 J. Vac. Sci. Technol. B 18 6, NovÕDec 2000 0734-211XÕ2000Õ18 6 Õ3572Õ6Õ$17.00 2000 American Vacuum Society 3572

3573 Bailey et al.: Step and flash imprint lithography: Template treatment 3573 FIG. 1. Multi-imprint apparatus. the substrate, simply based on contact area considerations. The surface energy of the bare quartz template is actually higher than that of the transfer layer, leading to greater adhesive forces in the area of greater contact. It is therefore necessary to modify the surface energy of the template in order to promote selective release at the template-etch barrier interface. A. Etch barrier This section is adapted from Colburn et al. 6 We formulated our first etch barrier solutions from a free radical generator dissolved in a solution of organic monomer, silylated monomer, and a dimethyl siloxane DMS oligomer. Each component serves a specific role in meeting these constraints. The free radical generator initiates polymerization upon exposure to actinic illumination. The organic monomer ensures adequate solubility of the free radical generator and adhesion to the organic transfer layer. The silylated monomers and the DMS provide the silicon required to give a high oxygen etch resistance. Both monomer types help maintain the low viscosity required during imprinting Fig. 2 b. The silylated monomer and DMS derivative also serve to lower the surface energy, enhancing template release. Test formulations were made from a variety of commercially available monomers and DMS derivatives listed in Table I. These were tested for reactivity and surface energy properties over the range of concentrations listed. The sily- FIG. 2. Step and flash imprint lithography process. The process employs a template/substrate alignment scheme to bring a rigid template and substrate into parallelism a, trapping the etch barrier b. The gap is closed until the force that ensures a thin base layer is reached. The imprint is then illuminated through the backside of the template c to cure the etch barrier. The template is withdrawn d, leaving low-aspect ratio, high resolution features in the etch barrier. The residual etch barrier base layer is etched away with a short halogen plasma etch, after which the pattern is transferred into the tranfer layer with an anisotropic oxygen reactive ion etch e, creating highaspect ratio, high resolution features. These high aspect ratio features in the organic transfer layer can be utilized as-is, or can be used as an etch mask for transferring the features into the substrate. TABLE I. Components and range of composition tested as viable etch barrier solution. Principal component Weight % Chemical names Monomer 25 50 Butyl acylrate, methyl acrylate, methyl methacrylate Methacryloxypropyl tris tri-methylsiloxy silane Silylated monomer 25 50 3-acryloxypropyl tris tri-methylsiloxy silane Dimethyl siloxane derivative Acryloxypropyl methylsiloxane dimethylsiloxane copolymer 0-50 Acryloxypropyl methylsiloxane homopolymer Acryloxy terminated polydimethylsiloxane Crosslinking agent 0 5 1,3-bis 3-methacryloxypropyl tetramethyl disiloxane Free radical generator 2 10 Irgacure 184, Irgacure 819 JVST B-Microelectronics and Nanometer Structures

3574 Bailey et al.: Step and flash imprint lithography: Template treatment 3574 FIG. 4. Surface treatment durability. The contact angle of three liquids on a template through five imprints does not appear to change outside of experimental error a. The surface treatment lowers the surface energy of the template, creating a hydrophobic surface b. The water contact angle does not change significantly after two months use. FIG. 3. Template surface treatment sequence. A fluorinated self-assembling monolayer is used to provide a low surface energy coating that ensures release of the cured etch barrier. Trichlorosilanes migrate to the template surface a, where they react with surface water to form silanol intermediates. These intermediates undergo a condensation reaction with the hydroxyl groups on the quartz surface, as well as adjacent silanols, to form a networked siloxane monolayer b. This layer is oriented such that the fluoroalkane chains are oriented away from the quartz, in a three-dimensional comblike structure. Annealing further enhances the condensation c, creating a highly networked, durable, low surface energy coating d. lated monomers, crosslinking agents, and DMS derivates were purchased from Gelest, Inc., and used as received. The free radical generators were acquired from Ciba-Giegy Specialty Chemicals Divisions. The organic monomers were purchased from Aldrich. A statistical response surface optimization procedure was employed to develop the preliminary etch barrier formulations. B. Template surface treatment Alkyltrichlorosilanes form covalent bonds with the surface of fused silica, or SiO 2, and can be used to modify the template surface energy. The OH groups on the SiO 2 surface react with the silane to form HCl. The reaction of functional alkylsilanes with SiO 2 proceeds very slowly in the absence of surface water, but quite rapidly in the presence of surface water. 10 13 Tripp et al. 13 studied the reaction of alkykchlorosilanes and fluoroalkylchlorosilaanes with silica using Fourier-transform infrared spectroscopy, by monitoring the disappearance of glass surface SiO H bond during the course of the reaction. They found that alkylsilanes do not react with a completely dehydrated surface, and the fluorinated counterparts do react, but slowly. Alkyltrichlorosilanes react with surface bound water to form networks derived from the formation of bonds between adjacent molecules. The water adsorbed on the glass surface reacts with the self-assembled monolayer SAM precursor to form a silanol intermediate and an acid in an irreversible reaction 14 R SiCl 3 3H 2 O R Si OH 3 3HCl. The intermediate has three OH groups, which can either bond to the quartz surface or to adjacent molecules through the loss of water. Figure 3 shows an example of this network formation. This networking characteristic of trichlorosilane makes it appealing for use as a durable release coating. Following the SAM formation, there may be some dangling OH groups on the substrate surface as well as in the film. Tripp and Hair 15 have shown that postformation annealing enhances the incorporation of these groups to form a more highly networked and highly bonded film. Zisman and co-workers 16 18 predicted that a surface composed of only CF 3 groups would have the lowest surface free energy of any system, at 6 dyn/cm. Nishino et al. later verified that the prediction. Their work on perfluorinated chains demonstrated a surface energy of 6.7 dyn/cm. 19 As a comparison, Teflon has a surface energy of 18 dyn/cm. 20 A good monolayer film with CF 3 terminations has the potential to be a superb release coating for the SFIL template. III. EXPERIMENTAL PROCEDURE The surface treatment procedure used in the SFIL process is based on the preceding information. The quartz templates were first cleaned with a piranha solution 1 part H 2 O 2 to 2 parts H 2 SO 4 ) for 30 min to remove any surface organic con- J. Vac. Sci. Technol. B, Vol. 18, No. 6, NovÕDec 2000

3575 Bailey et al.: Step and flash imprint lithography: Template treatment 3575 FIG. 5. Imprint and separation forces using 1 in. by 1 in. templates. There does not appear to be any systematic change in separation forces after multiple imprints. taminants. After the piranha etch, the substrates were blown dry with N 2. The substrates were then heated to 90 C, and reacted with tridecafluoro-1,1,2,2,tetrahydrooctyl trichlorosilane CF 3 CF 2 5 CH 2 CH 2 SiCl 3 ] Gelest for1h. The transfer layer used in early studies was poly methyl methacrylate 967k MW, spun at 3k rpm from a 2.5% solution in chlorobenzene, then baked at 180 C for a minimum of4htoyield films 200 nm thick. 1 l of etch barrier, described in Sec. II A, was dispensed for each 1 square inch imprint. The etch barrier was cured at room temperature using an Oriel 500 W Hg arc lamp running at 300 W under 2 psi of imprint pressure. Curing required approximately 20 50 mj/cm 2. 6 The template was withdrawn after cure at an average speed of 1.3 m/s. IV. RESULTS AND DISCUSSION A. Template surface treatment The surface treatment reaction has yielded surface energies in the neighborhood of 12 dyn/cm. These surface energies do not approach the Zisman prediction, and we believe this is due to surface roughness effects which expose underlying CF 2 groups. A surface composed of mixed CF 3 and CF 2 groups should have a surface energy in the range of 17 dyn/cm. 20 The surface treatment must maintain its release characteristics through hundreds or thousands of imprints in a manufacturing process. Preliminary results indicate that the current technique could provide films with the required durability. Figure 4 shows the change in contact angle of water, glycerol, and diiodomethane after each of five imprints. There does not appear to be any systematic degradation. The droplet images show the water contact angle on an untreated template, a newly treated template, and a template that was used for a period of two months and was cleaned vigorously. The template retained its release functionality, and it can be seen that the water contact angle did not degrade significantly. We have seen no evidence of catastrophic loss of release function. Work is currently underway FIG. 6. Detailed defect region. The defects tracked in the following images are labeled here. Note the cross pattern; this was the reference point used to find the defect region on each imprint. Only one such feature exists on the imprint template. FIG. 7. Disappearance of template-bound contamination can be seen in these images. Image 1 is a micrograph of the first imprint, etc. Note the rapid disappearance of small defects. Even the very large defects shrink upon successive imprinting and completely disappear after the 8th imprint. JVST B-Microelectronics and Nanometer Structures

3576 Bailey et al.: Step and flash imprint lithography: Template treatment 3576 FIG. 8. Particle size vs number of imprint. The size and number of all defects in this field diminished with each imprint, yielding a defect-free field after eight imprints. Large particles are removed more slowly than small particles. to quantify film durability for a variety of treatment conditions. B. Imprint and release forces The imprint and release forces were recorded for multiple consecutive imprints. The template was raised and lowered in position control mode, and the imprint and release forces were monitored. Figure 5 shows the imprint and release forces for multiple imprints. The imprint force was 2 4 psi, and the required release force was less than 5 psi even after 25 imprints. The release force shows only random fluctuation, implying that the properties of the etch barrier/release layer interface remain effectively constant upon multiple imprinting. The source of the variance in release force is under investigation. C. Defect disappearance Wafers with multiple imprints were carefully analyzed for defects. One region of the imprint field was tracked through multiple imprints starting with the first imprint using an Olympus microscope. The size of the defects was estimated and tracked. Figure 6 shows a field of severe defects, some of which are identified. These defects were followed through consecutive imprints. After eight imprints, the region was free of defects, as seen in Fig. 7. The size and number of the defects decreased with each imprint, as shown in Fig. 8. We believe that the defects are removed by entrainment in the etch barrier, thus cleaning the template for the following imprints. Based on these results, it appears that the process is self-cleaning for contaminants on the template. V. CONCLUSIONS An automated tool for step and flash imprint lithography has been constructed. This tool allows an operator to run automated imprinting experiments without human intervention, except for installation of templates, and loading and unloading of wafers. Imprint templates were treated with a low surface energy self-assembled monolayer to aid selective release at the template-etch barrier interface. This surface treatment was shown to be quite durable, surviving repeated imprints and multiple aggressive physical cleanings without loss of function. The imprint and release forces were measured for a number of successive imprints. The imprint force was maintained at 2 4 psi, and the release force was less than 5 psi for all imprints. The SFIL process appears to be self-cleaning. The number and size of imprinted defects resulting from template contamination decreased with each successive imprint. The imprint field was contamination free after eight imprints. Contamination on the template was observed to be entrained in the polymerized etch barrier. ACKNOWLEDGMENTS The authors thank DPI-RTC, International SEMATECH, and Compugraphics for generous gifts and technical consultation to the project. Special thanks to Franklin Kalk, Cece Philbin, and Ric Diola for help in getting imprint templates, and Dan Felder for this help in defect analysis. The authors gratefully acknowledge the financial support of SRC and DARPA. 1 D. Wang et al., Appl. Phys. Lett. 70, 1593 1997. 2 J. Haisma et al., J. Vac. Sci. Technol. B 14, 4124 1996. 3 S. Y. Chou, P. R. Krauss, and P. J. Renstrom, J. Vac. Sci. Technol. B 14, 4129 1996. 4 T. K. Widden et al., Nanotechnology 7, 447 1996. 5 Y. Xia and G. M. Witesides, Agnew. Chem. Int. 37, 550 1998. 6 M. Coburn et al., SPIE 24th Intl. Symp. on Microlithography: Emerging Lithographic Technologies III, Santa Clara, CA, 1999, pp. 379 389. 7 M. Colburn et al., SPIE 25th Intl. Symp. Microlithography: Emerging Lithographic Technologies IV, Santa Clara, CA, 2000, p. 453. 8 P. Ruchoeft et al., J. Vac. Sci. Technol. B 17, 2965 1999. 9 B. J. Choi et al. unpublished. 10 X. Zhao and R. Kopelman, J. Phys. Chem. 100, 11014 1996. 11 P. Silberzan et al., Langmuir 7, 1647 1991. 12 J. D. LaGrange and J. L. Markham, Langmuir 9, 1749 1993. 13 C. P. Tripp, R. P. N. Veregin, and M. L. Hair, Langmuir 9, 3518 1993. 14 C. P. Tripp and M. L. Hair, Langmuir 11, 1215 1995. J. Vac. Sci. Technol. B, Vol. 18, No. 6, NovÕDec 2000

3577 Bailey et al.: Step and flash imprint lithography: Template treatment 3577 15 C. P. Tripp and M. L. Hair, Langmuir 11, 149 1995. 16 W. A. Zisman, in Constitutional Effects on Adhesion and Abhesion, in Adhesion and Cohesion, edited by P. Weiss Elsevier, New York, 1962, p. 183. 17 E. G. Shafrin and W. A. Zisman, J. Phys. Chem. 64, 519 1960. 18 E. F. Hare, E. G. Shafrin, and W. A. Zisman, J. Phys. Chem. 58, 236 1954. 19 T. Nishino et al., Langmuir 15, 4321 1999. 20 A. Ulman, An Introduction to Ultrathin Organic Films from Langmuir Blodgett to Self-Assembly Academic, Boston, 1991, p.442. JVST B-Microelectronics and Nanometer Structures