ALD-enabled nanopatterning: area-selective ALD by area-activation

Similar documents
In situ Studies of ALD Processes & Reaction Mechanisms

ALD Nucleation and Area-Selective Deposition

Hotwire-assisted Atomic Layer Deposition of Pure Metals and Metal Nitrides

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur

Selective Processes: Challenges and Opportunities in Semiconductor Scaling

Reaction Mechanism of Area-Selective Atomic

There's Plenty of Room at the Bottom

A. Optimizing the growth conditions of large-scale graphene films

High-resolution Characterization of Organic Ultrathin Films Using Atomic Force Microscopy

Scaling up Chemical Vapor Deposition Graphene to 300 mm Si substrates

The goal of this project is to enhance the power density and lowtemperature efficiency of solid oxide fuel cells (SOFC) manufactured by atomic layer

JOHN G. EKERDT RESEARCH FOCUS

Fabrication at the nanoscale for nanophotonics

An account of our efforts towards air quality monitoring in epitaxial graphene on SiC

Carbon Nanotubes for Interconnect Applications Franz Kreupl, Andrew P. Graham, Maik Liebau, Georg S. Duesberg, Robert Seidel, Eugen Unger

Selective-Area Atomic Layer Deposition of Copper Nanostructures for Direct Electro- Optical Solar Energy Conversion

Supporting Information. Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition

Supplementary Information. Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction

Technology for Micro- and Nanostructures Micro- and Nanotechnology

Supplementary Figure S1. AFM images of GraNRs grown with standard growth process. Each of these pictures show GraNRs prepared independently,

Nanostrukturphysik (Nanostructure Physics)

Supplementary Information

Supporting Data. The University of Texas at Dallas, 800 West Campbell Road, Richardson, Texas 75080, United

Südliche Stadtmauerstr. 15a Tel: D Erlangen Fax:

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

High-density data storage: principle

Nanotechnology Fabrication Methods.

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

Improving the Electrical Contact Property of Single-Walled Carbon Nanotube Arrays by Electrodeposition

Nanoparticle Devices. S. A. Campbell, ECE C. B. Carter, CEMS H. Jacobs, ECE J. Kakalios, Phys. U. Kortshagen, ME. Institute of Technology

Study of Graphene Growth Mechanism on Nickel Thin Films

a b c Supplementary Figure S1

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Three Approaches for Nanopatterning

MSN551 LITHOGRAPHY II

Atomic Layer Processing:

Electrochemically Synthesized Multi-block

On the nucleation of Pt & Pd during atomic layer deposition & temperature programmed desorption for thin film analysis

CHAPTER 6: Etching. Chapter 6 1

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications

JOHN G. EKERDT RESEARCH FOCUS

Nanocarbon Interconnects - From 1D to 3D

Understanding Irreducible and Reducible Oxides as Catalysts for Carbon Nanotubes and Graphene Formation

Atomic layer deposition of titanium nitride

Electronic Supplementary Information

Thermally Stable Pt-Mesoporous Silica Core-Shell Nanocatalysts. for High Temperature Reactions

Déposition séléctive le rêve reviens

Half-Integer Quantum Conductance States

Supplementary Figure 1. Electron micrographs of graphene and converted h-bn. (a) Low magnification STEM-ADF images of the graphene sample before

Supplementary Information

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Surface Functionalization by Atomic Layer Deposition

Complex Nanostructures by Atomic Layer Deposition. Kornelius Nielsch.

Boosting the hydrogen evolution performance of ruthenium clusters. through synergistic coupling with cobalt phosphide

Resistance Thermometry based Picowatt-Resolution Heat-Flow Calorimeter

Simulating mechanism at the atomic-scale for atomically precise deposition and etching

Theodore E. Madey. Department of Physics and Astronomy, and Laboratory for Surface Modification

SUPPLEMENTARY INFORMATION

Heterogeneous vortex dynamics in high temperature superconductors

Recap (so far) Low-Dimensional & Boundary Effects

Carbon Nanotubes: Development of Nanomaterials for Hydrogen Storage

PREPARATION OF LUMINESCENT SILICON NANOPARTICLES BY PHOTOTHERMAL AEROSOL SYNTHESIS FOLLOWED BY ACID ETCHING

Block Copolymer Based Hybrid Nanostructured Materials As Key Elements In Green Nanotechnology

Top down and bottom up fabrication

Dip-Pen Lithography 1

SUPPLEMENTARY INFORMATION

Self-assembled nanostructures for antireflection optical coatings

Hydrogenated Graphene

Overview of the main nano-lithography techniques

Low Power Phase Change Memory via Block Copolymer Self-assembly Technology

Auger Electron Spectroscopy Overview

Graphene devices and integration: A primer on challenges

The design of an integrated XPS/Raman spectroscopy instrument for co-incident analysis

MICRO AND NANOPROCESSING TECHNOLOGIES

Supplementary Figure 1 Dark-field optical images of as prepared PMMA-assisted transferred CVD graphene films on silicon substrates (a) and the one

IC Fabrication Technology

Electrochemical Deposition of Iron Nanoparticles on PPY and H terminated Si substrates. Karan Sukhija Co-op Term # 1 April 28 th, 2005

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities

Accelerated Neutral Atom Beam Processing of Ultra-thin Membranes to Enhance EUV Transmittance. February 22, 2015

ALD & ALE Tutorial Speakers and Schedule

Novel Tooling for Scaling of High Quality CVD Graphene Production. Karlheinz Strobl, Mathieu Monville, Riju Singhal and Samuel Wright

Transparent Electrode Applications

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes

Layer-modulated synthesis of uniform tungsten disulfide nanosheet using gas-phase precursors.

Woo Jin Hyun, Ethan B. Secor, Mark C. Hersam, C. Daniel Frisbie,* and Lorraine F. Francis*

CHIMICA DELLE SUPERFICI ED INTERFASI

Alternative deposition solution for cost reduction of TSV integration

High efficiency solar cells by nanophotonic design

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing

Printing nanotube-based p-type thin film transistors with high current density

Carrier Transport by Diffusion

Frequency dispersion effect and parameters. extraction method for novel HfO 2 as gate dielectric

Wafer-scale fabrication of graphene

Scientific Report. Concerning the implementation of the project: January December 2014

NanoEngineering of Hybrid Carbon Nanotube Metal Composite Materials for Hydrogen Storage Anders Nilsson

GHZ ELECTRICAL PROPERTIES OF CARBON NANOTUBES ON SILICON DIOXIDE MICRO BRIDGES

Supporting Information

Introduction. Photoresist : Type: Structure:

The Effect of Surface Functionalization of Graphene on the Electrical Conductivity of Epoxy-based Conductive Nanocomposites

Transcription:

ALD-enabled nanopatterning: area-selective ALD by area-activation Adrie Mackus, Ageeth Bol, and Erwin Kessels w.m.m.kessels@tue.nl www.tue.nl/pmp

Outline Introduction & Area-selective deposition Area-selective ALD by area-deactivation Example: blocking with polyimide Area-selective ALD by area-activation Example: EBID & ALD of Pt (direct-write ALD) Intermezzo: μ-contact printing & ALD of Ru Example: μ-plasma printing & ALD of In 2 O 3 (direct-write ALD) Summary 2

Selective deposition for bottom-up processing Subtractive processes Additive processes 3

Area-selective deposition Surface with material A and material B Growth on material A only, not on material B Material A Material B 4

Area-selective deposition: core-shell nanoparticles Pt Pd Al 2 O 3 1. Deposit Pd nanoparticles by ALD 2. Deposit Pt shell by area-selective ALD on Pd core Fixed core diameter (100 cycles Pd) with varying shell thickness (0, 25, 50 and 75 cycles Pt) 5 Weber et al., Chem. Mater. 24, 2973 (2012). Weber et al., Nanotechnology 26, 094002 (2015).

Area-selective ALD: core-shell nanoparticles HAADF-STEM imaging Pt Pd Al 2 O 3 20 nm IMG1(frame1) 20 nm 2 nm EDS mapping 6 20 nm Pd L 20 nm Pt M Weber et al., Chem. Mater. 24, 2973 (2012). Weber et al., Nanotechnology 26, 094002 (2015).

Area-selective deposition: Al 2 O 3 on Cu/SiO 2 Patterned Cu/SiO 2 : SAMS grow on Cu(O x ) ALD of Al 2 O 3 on SiO 2 and (ultimately) on SAMS Selective etch of Al 2 O 3 and SAMS The SAMS grow selectively on the Cu(Ox) and not on the SiO 2 Al 2 O 3 grows on both the SiO 2 and on the SAMS (albeit with some delay and with a lower quality) 7 Minaye Hashemi et al., ACS Nano 9, 8710 (2015).

Nanopatterning using area-selective ALD? 8

ALD-enabled patterning Conventional: Etching 1. ALD Lift-off ALD-enabled: Area-selective ALD by area-deactivation 2. Resist patterning 1. Resist patterning 1. SAM patterning 3. Etching 2. ALD 2. ALD 4. Resist strip 3. Lift-off 3. SAM strip 9 Mackus et al., Nanoscale 6, 10941 (2014).

Area-selective ALD by area-deactivation Blank substrate Areas masked by photosensitive material or SAMs ALD on open areas only No deposition on the masking material (otherwise lift-off) The masking of the substrate by photosensitive material or by SAMs requires a patterning step (typically subtractive)! 10

AS-ALD by area-deactivation: using polyimide After polyimide photolithography patterning Polyimide SiO 2 50µm After 1000 cycles Pt ALD (300 ⁰C) Polyimide 50µm Pt Pt does not deposit on polyimide so no lift-off Polyimide does not flow at 300 ⁰ C so well-controlled pattern width 11 Vervuurt et al., Nanotechnology 27, 405302 (2016).

AS-ALD by area-deactivation: using polyimide I.) II.) Polyimide Graphene 20µm III.) IV.) Graphene Pt 20µm 12 Vervuurt et al., Nanotechnology 27, 405302 (2016).

Area-selective ALD by area-deactivation Blank substrate Areas masked by photosensitive material or SAMs ALD on open areas only No deposition on the masking material (otherwise lift-off) The masking of the substrate by photosensitive material or by SAMs requires a patterning step (typically subtractive)! 10

Summary: ALD-enabled patterning Conventional: ALD-enabled: Etching 1. ALD Lift-off Area-selective ALD by area-deactivation Area-selective ALD by area-activation 2. Resist patterning 1. Resist patterning 1. SAM patterning 3. Etching 2. ALD 2. ALD 1. Patterning of activation layer 4. Resist strip 3. Lift-off 3. SAM strip 2. ALD 9 Mackus et al., Nanoscale 6, 10941 (2014).

Area-selective ALD by area-activation Blank substrate Local activation of surface by seed layer or modification surface groups, etc. ALD on activated areas only The local activation is a patterning step but it is not subtractive! 115

AS-ALD by area-activation: EBID & ALD of Pt 1. Patterning step: e-beam induced deposition (EBID) e-beam Half-reaction A 2. Building step: Atomic layer deposition (ALD) Two-step process: Patterning: ultrathin (<1 ML) seed layer on oxide by EBID Building: area-selective ALD on seed layer Half-reaction B 14 Mackus et al., Nanoscale 4, 4477 (2012). US patent: 8,268,532 (2012).

AS-ALD by area-activation: EBID & ALD of Pt 1. Patterning step: EBID 2. Building step: ALD FEI Nova Nanolab 600 Pattering with: Nanoscale resolution of electron beam induced deposition (EBID) High Pt material quality as obtained by ALD 15 Mackus et al., Nanoscale 4, 4477 (2012). US patent: 8,268,532 (2012).

Direct-write ALD with e-beam patterning Direct-write: maskless and templateless patterning 16 Mackus et al., Nanoscale 4, 4477 (2012). US patent: 8,268,532 (2012).

Direct-write ALD of Pt contacts Back-gated (single-wall) CNTFET with direct-write ALD Pt contacts TLM structure on graphene with direct-write ALD Pt contacts 17 Mackus et al., Appl. Phys. Lett. 110, 013101 (2017). Thissen et al., 2D Mater. 4, 025046 (2017).

Underlying surface science: Pt dissociates O 2 Thickness (nm) 12 10 8 6 4 300 ⁰C H 3 C Pt CH 3 CH 3 CH 3 Thermal ALD Pt (on Pt) Plasma ALD Pt 2 Thermal ALD Pt (on Al 0 2 O 3 ) 0 50 100 150 200 250 Number of cycles Pt ALD Pt nucleates poorly on oxides Nucleation depends on O 2 pressure and sample temperature 18 Mackus et al., Chem. Mater. 25, 1905 (2013) Getman et al., J. Phys. Chem. C 112, 26 (2008)

AS-ALD by area-activation: EBID & ALD of Pt 1. Patterning step: EBID 2. Building step: ALD FEI Nova Nanolab 600 Pattering with: Nanoscale resolution of electron beam induced deposition (EBID) High Pt material quality as obtained by ALD 15 Mackus et al., Nanoscale 4, 4477 (2012). US patent: 8,268,532 (2012).

Underlying surface science: Pt dissociates O 2 Thickness (nm) 12 10 8 6 4 300 ⁰C H 3 C Pt CH 3 CH 3 CH 3 Thermal ALD Pt (on Pt) Plasma ALD Pt 2 Thermal ALD Pt (on Al 0 2 O 3 ) 0 50 100 150 200 250 Number of cycles Pt ALD Pt nucleates poorly on oxides Nucleation depends on O 2 pressure and sample temperature 18 Mackus et al., Chem. Mater. 25, 1905 (2013). Getman et al., J. Phys. Chem. C 112, 26 (2008).

Underlying surface science: Ru dissociates O 2 19 Leick et al., J. Phys. Chem. C 117, 21320 (2013) and references therein.

AS-ALD by area-activation: μ-cp & ALD of Ru 1. Patterning step: Micro-contact printing 2. Building step: Atomic layer deposition (ALD) Ru temperature window = 275 400 ⁰C Ru does not nucleate just below temperature window: 250 ⁰C 20 Färm et al., Chem. Mater. 24, 275 (2012).

Outline Introduction & Area-selective deposition Area-selective ALD by area-deactivation Example: blocking with polyimide Area-selective ALD by area-activation Example: EBID & ALD of Pt (direct-write ALD) Intermezzo: μ-contact printing & ALD of Ru Example: μ-plasma printing & ALD of In 2 O 3 (direct-write ALD) Summary 2

AS-ALD by area-activation: μpp & ALD of In 2 O 3 1. Patterning step: μ-plasma printer (in air) 2. Building step: Atomic layer deposition (ALD) Half-reaction A Two-step process: Patterning: plasma treatment of H-terminated silicon surface Building: area-selective ALD on treated area Half-reaction B 21 Mameli et al., Chem. Mater. 29, 921 (2017).

AS-ALD by area-activation: μ-pp & ALD of In 2 O 3 1. Patterning step: μ-plasma printer (in air) 2. Building step: Atomic layer deposition (ALD) Pattering with: Sub-mm resolution of μ-plasma printer High In 2 O 3 material quality as obtained by ALD 22 Libera et al., Chem. Mater. 23, 21150 (2011). Mameli et al., Chem. Mater. 29, 921 (2017).

Direct-write ALD of In 2 O 3 1) Blank H-terminated surface 2) 3) µ-plasma activation ALD Si(%) In(%) XPS linear scan 100 80 60 Si 2p3 40 20 0 100 80 In 3d5 60 40 20 0 0 5 10 15 20 distance (mm) 228 Mameli et al., Chem. Mater. 29, 921 (2017).

Underlying surface science: InCp adsorption Adsorption energies of InCp (in ev) Si(111)-H Si(111)-OH ALD In 2 O 3 using InCp as precursor exhibits a large nucleation delay on H-terminated surfaces Calculations in progress: Adsorption on Si(111)-OH seems thermodynamically more favorable 24 Mameli et al., Chem. Mater. 29, 921 (2017).

Summary Area-selective deposition will provide processing solutions for upcoming technology nodes There is especially interest in area-selective ALD as ALD is starting surface dependent Preferably also nanopatterning by area-selective ALD We can distinguish two approaches: Area-selective ALD by area-deactivation Area-selective ALD by area-activation Area-selective ALD by area-activation is the ultimate dream as the surface on which no film needs to be deposited remains untouched Presented two approaches that can be categorized as direct-write ALD To be continued! 25

Summary: ALD-enabled patterning Conventional: ALD-enabled: Etching 1. ALD Lift-off Area-selective ALD by area-deactivation Area-selective ALD by area-activation 2. Resist patterning 1. Resist patterning 1. SAM patterning 3. Etching 2. ALD 2. ALD 1. Patterning of activation layer 4. Resist strip 3. Lift-off 3. SAM strip 2. ALD 9 Mackus et al., Nanoscale 6, 10941 (2014).

Review paper 26 Mackus et al., Nanoscale 6, 10941 (2014).

Acknowledgments Patterning of graphene with polyimide René Vervuurt Direct-write ALD of Pt Nick Thissen Direct-write ALD of In 2 O 3 Alfredo Mameli Yinghuan Kuang Morteza Aghaee Adriana Creatore Fred Roozeboom 27