Mass Spectrometry for Equipment, Process and Wafer State Sensing and Control

Similar documents
Process sensing and metrology in gate oxide growth by rapid thermal chemical vapor deposition from SiH 4 and N 2 O

Challenges for Real-Time Control in Reactive Semiconductor Manufacturing Process Environments

Chemical Sensing and Sensor-based Metrology Using Mass Spectrometry in Multi-Component Reaction Systems

I. INTRODUCTION. 127 J. Vac. Sci. Technol. B 15(1), Jan/Feb X/97/15(1)/127/6/$ American Vacuum Society 127

Real-Time Chemical Sensing for Advanced Process Control in ALD

In-Situ FTIR Spectroscopy and Metrology of a Tungsten CVD Process

Gas utilization in remote plasma cleaning and stripping applications

Real-time sensing and metrology for atomic layer deposition processes and manufacturing

Run to run control in tungsten chemical vapor deposition using H 2 ÕWF 6 at low pressures

I. INTRODUCTION. Current address: Intel Corporation, electronic mail:

Real-time growth rate metrology for a tungsten chemical vapor deposition process by acoustic sensing

In situ mass spectrometry in a 10 Torr W chemical vapor deposition process for film thickness metrology and real-time advanced process control

Real-time, in situ film thickness metrology in a 10 Torr W chemical vapor deposition process using an acoustic sensor

Sensors and Metrology. Outline

Dynamic Equipment and Process Simulation for Atomic Layer Deposition Technology

Review of investigation of influence of operational parameters on metrological characteristics of QMS within EMRP IND12 project

In-situ Sensing Using Mass Spectrometry and its Use for Run-To-Run Control on a W-CVD Cluster Tool

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

Real-time observation and optimization of tungsten atomic layer deposition process cycle

Silicon etching in NF 3 /O 2 remote microwave plasmas

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Extrel Application Note

Sensors and Metrology

III V Semiconductor Etching Process Quality Rather Than Quantity. Alan Webb. (formally of Plessey, GEC, Marconi, Bookham, Oclaro etc...

In-situ Multilayer Film Growth Characterization by Brewster Angle Reflectance Differential Spectroscopy

ABSTRACT. This thesis describes the development of a real-time control system for depositing

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6

A New Approach to Spatially Controllable CVD

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

Secondary ion mass spectrometry (SIMS)

Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice

Catalysis CAPABILITIES

Lecture 3 Vacuum Science and Technology

In-situ Ar Plasma Cleaning of Samples Prior to Surface Analysis

Partial Pressure Analysis for Large Vacuum Systems

Thin Film Deposition. Reading Assignments: Plummer, Chap 9.1~9.4

Science Drivers. Spectroscopic Sensors. In Situ Sensors. Development of autonomous and remote platforms

Modeling of Ion Energy Distribution Using Time-Series Neural Network

Hiden SIMS Secondary Ion Mass Spectrometers. Analysers for surface, elemental and molecular analysis

An XML-Based Approach to Integrating Semiconductor Process Information

ETCHING Chapter 10. Mask. Photoresist

EE C245 ME C218 Introduction to MEMS Design Fall 2007

Autoresonant Ion Trap Mass Spectrometer The RGA Alternative

Microscopy AND Microanalysis MICROSCOPY SOCIETY OF AMERICA 2006

Spatially Controllable CVD: The Programmable Reactor Concept

Introducing the RoVaCBE Flagship project: Roll-to-roll Vacuum-processed Carbon Based Electronics. Dr Hazel Assender, University of Oxford

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013

Plasma Deposition (Overview) Lecture 1

I R PH.D. THESIS. Real-time in-situ chemical sensing, sensor-based film thickness metrology, and process control in W CVD process

Lecture 6. Rapid Thermal Processing. Reading: Chapter 6

LECTURE 5 SUMMARY OF KEY IDEAS

Feature-level Compensation & Control

Simulator Development and Prototype Evaluation for a Spatially Controllable Chemical Vapor Deposition System

Calibration of capacitance diaphragm gauges with 1333 Pa full scale by direct comparison to resonant silicon gauge and static expansion system

MOCVD Carrier Emissivity and Temperature Uniformity Characterization

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

ALD & ALE Tutorial Speakers and Schedule

THERMAL PROFILE EVALUATION OF A SILICON WAFER IN THE APPARATUS FOR RAPID THERMAL CHEMICAL VAPOUR DEPOSITION

Extrel is widely respected for the quality of mass spectrometer systems that are

The Claviature of Gas Analysis

Chemical Vapor Deposition (CVD)

HEMS Low Power Carbon Nanotube Field Emission Electron Source for Chemical Ionization Mass Spectrometry

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

ABSTRACT DEVELOPMENT OF A SPATIALLY CONTROLLABLE CHEMICAL VAPOR DEPOSITION SYSTEM. Jae-Ouk Choo, Doctor of Philosophy, 2005

Application note. Trace level analysis of sulfur, phosphorus, silicon and chlorine in NMP using the Agilent 8800 Triple Quadrupole ICP-MS

vacuum analysis plasma diagnostics surface science gas analysis

Chip-Scale Mass Spectrometers for Portable Gas Analyzers Luis Fernando Velásquez-García. A. I. Akinwande, K. Cheung, and L.-Y Chen.

Chapter 7 Plasma Basic

Quadrupole Mass Spectrometry Concepts. Mass spectrometers for residual gas analysis: Intermediate Level Users Guide

Surface Chemistry and Reaction Dynamics of Electron Beam Induced Deposition Processes

Technology Improvement and Fault TCP Etch Chamber and a Dual Frequency Oxide Etch Chamber

Technology Brief 9: Capacitive Sensors

Fundamental insight into ALD processing by in-

Quadrupole Time-of-Flight Liquid Chromatograph Mass Spectrometer LCMS-9030 C146-E365

Semiconductor Nanocrystals from Nonthermal Plasmas. Rebecca J. Anthony University of Minnesota

Evaluating the Performance of c-c 4 F 8, c-c 5 F 8, and C 4 F 6 for Critical Dimension Dielectric Etching

FIB - SIMS. Focussed Ion Beam Secondary Ion Mass Spectrometry.

Optical plasma emission spectroscopy of etching plasmas used in Si-based semiconductor processing

TPRS with MATLAB and quantitative mass spec review. Cassandra Siler

ELECTRON-cyclotron-resonance (ECR) plasma reactors

Agenda. 1. Atomic Layer Deposition Technology

In-situ Monitoring of Thin-Film Formation Processes by Spectroscopic Ellipsometry

Secondaryionmassspectrometry

Use of In-Situ Optical Emission Spectroscopy for Leak Fault Detection and Classification in Plasma Etching

EE 434 Lecture 12. Process Flow (wrap up) Device Modeling in Semiconductor Processes

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

CVD: General considerations.

H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou University of Houston. November 2, AVS 58h International Symposium, Nashville, TN, USA

In situ Studies of ALD Processes & Reaction Mechanisms

Design and Use of Portable and Compact Sampling Systems for Mass Spectrometers. Kenneth Wright INFICON Inc, East Syracuse NY

Document Version Publisher s PDF, also known as Version of Record (includes final page, issue and volume numbers)

Supplementary Information. Rapid Stencil Mask Fabrication Enabled One-Step. Polymer-Free Graphene Patterning and Direct

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

CHAPTER 6: Etching. Chapter 6 1

MEASUREMENT CAPABILITIES OF X-RAY FLUORESCENCE FOR BPSG FILMS

Behavior of Three Types of Plasma Sources for Optical Coating

Effects of cross field diffusion in a low pressure high density oxygen/silane plasma

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases

Supporting Information

Transcription:

Mass Spectrometry for Equipment, Process and Wafer State Sensing and Control Laura L. Tedder, G. Brian Lu and Gary W. Rubloff laura_tedder@ucsd.edu brian_lu@ncsu.edu gary_rubloff@ncsu.edu NSF Engineering Research Center for Advanced Electronic Materials Processing North Carolina State University Raleigh, NC 27695-7920 fault detection & classification metrology dynamic simulation control Real-time mass spectrometry results equipment & process state fault detection & classification wafer state o thickness metrology o dynamic reaction rate Flexible manufacturing example Integration of simulation & MS sensing for process extrapolation

Why mass spectrometry as a real-time sensor? Already in manufacturing RGA for contamination control Generic applications virtually any process except lithography In-situ, real-time, non-invasive / non-destructive Sensitive to equipment, process and wafer state chemical & physical changes

Relative Abundance 2x10-10 1.5x10-10 1x10-10 5x10-11 10% SiH 4 /Ar, 1 slm RTP Pressure: 5 torr QMS Pressure: 1E-6 torr H 2 SiH 4 Cracking Ar 2 Fragments SiH 2 Ar Active Sampling Mass Spectrometry for PolySi RTCVD 0 0 10 20 30 40 50 10% SiH 4 /Ar Atomic Mass Unit 1 mm sampling aperture Lamps 5x10-6 torr 50 mtorr 5 torr QMS Leybold Inficon Transpector 50 l/s 50 l/s Mech. Pump Mass Spec Sensor System RTCVD tool Downstream sensing Two-stage differential pumping Rapid sensor time response (3 sec) Tedder, et al., JVST-B, 13 (4), (1995) 1924

Analysis of Mass Spectrometry Sensor Data 2x10-10 10% SiH 4 /Ar, 1 slm Problem: Ionization of chemical species produces multiple peaks fragmentation multi-ionization Relative Abundance 2x10-10 1x10-10 5x10-11 RTP Pressure: 5 torr QMS Pressure: 1E-6 torr H 2 Ar 2 SiH 4 Cracking Fragments SiH 2 Ar Surface reaction products can be same species as fragments 0 0 10 20 30 40 50 Atomic Mass Unit Solution: Identify peaks unique to chemical species e.g., AMU 30 => SiH 2 & NO AMU 31 => SiH 3 only

Mass Spec Monitoring of RTCVD Processes SiH 4(g) Si (s) 2 H 2(g) Gas On Lamps On Gas Off Lamps Off 10-1 10-2 SiH 2 10-3 550 o C H 2 Partial Pressure (arb. units) 10-4 10-5 10-6 10-7 10-8 650 o C 10-9 750 o C 10-10 10-11 0 25 50 75 100 125 150 Equipment & process state sensing equipment function & control process gases Wafer state sensing H 2 production & reactant depletion metrology?

Equipment Fault Pressure Control System 4.0x10-10 3.5x10-10 normal Ar trend 3.0x10-10 Relative Abundance 2.5x10-10 2.0x10-10 1.5x10-10 1.0x10-10 5.0x10-11 0.0 0 25 50 75 100 4.0x10-10 3.5x10-10 abnormal Ar trend 3.0x10-10 Relative Abundance 2.5x10-10 2.0x10-10 1.5x10-10 1.0x10-10 5.0x10-11 0.0 0 25 50 75 100 Capacitance manometer-based control Mass spec sensitivity to pressure oscillations

Equipment Fault Temperature Contol System H 2 Partial Pressure (Arb. Units) 1.2x10-7 1.0x10-7 8.0x10-8 6.0x10-8 4.0x10-8 temperature control system re-calibration: before after 5 torr 10% SiH 4 /Ar 300 sccm 650 o C, 35s temperature overshoot ~50 o C 2.0x10-8 0.0 0 20 40 60 80 100 120 140 160 180 200 Pyrometry-based process control Mass spec sensitivity to reaction rate

Time-Integrated Sensor Data for Metrology Reaction product signal (H 2 ) indicates reaction rate at wafer SiH 4 ==> Si 2 H 2 Every two H 2 product molecules sensed represents a Si atom deposited Integrate H 2 product signal through cycle to determine deposited Si thickness Signal should be fault-tolerant, i.e., insensitive to details of process recipe and control system response Use as a real-time metrology tool

Fault-tolerant thickness metrology Temp time 100 90 80 70 5 torr 10% SiH 4 /Ar (300 sccm) polysi RTCVD on o 1000A thermal oxide 60 50 40 30 N2 N3 N1 N4 N5 20 10 0 550 600 650 700 750 800 850 900 Temperature (oc) Nanometrics th ickness measurements => ex-situ

Wafer State (Thickness) Metrology SiH 4(g) Si (s) 2 H 2(g) polysi RTCVD Integrated H 2 Mass Spec Signal (Reaction Product) 8.0x10-9 6.0x10-9 4.0x10-9 2.0x10-9 0.0 Reaction Product Measured In Situ Correlates With Film Thickness d = c ( y H 2 ) 0 2000 4000 6000 8000 PolySi Film Thickness (A) (Nanometrics) o 300 sccm 10% SiH 4 /Ar Linear over crucial thickness range (< 2000A) to 10% Candidate for RTCVD metrology Non-linearities instrinsic and need to be understood Tedder, et al., JVST-B, submitted

Mass Spec Sensing of SiO 2 RTCVD 2% SiH 4 / N 2 O 1.0x10-10 Gas Lamps Gas Off On On Lamps Off 8.0x10-11 Relative Abundance 6.0x10-11 4.0x10-11 2.0x10-11 N 2 O Ar H 2 1.6x10-11 1.4x10-11 0.0 0 25 50 75 100 Electron Multiplier 60 60 sccm 125 150 (10%SiH 4 /Ar) 300 sccm N 2 O 800 o C, C, 60 60 sec Relative Abundance 1.2x10-11 1.0x10-11 8.0x10-12 6.0x10-12 N 2 Ar 2 H 2 4.0x10-12 2.0x10-12 0 25 50 75 100 125 150 H 2 observed as reaction product from SiH 4 reaction H 2 /H 2 O > 10 3 (=> SiH 4 rxn followed by N 2 O oxidation)

Flexible Manufacturing Example Goal: "Customer" needed to extrapolate 1200A RTCVD polysi process to 250-500A process not a simple matter of reducing time or temperature Simulation: Used simulator to determine process parameters for 250A polysi RTCVD, varying time and pressure Experimental validation: Immediate detection of process control limitation pressure control system calibrated for > 5 torr Sensor data raises concerns for reproducibility and statistical distribution of end product

Process Extrapolation 4.0x10-10 0.5 torr 10% SiH 4 /Ar 650 o C 30s 250-300 A o 3.5x10-10 3.0x10-10 spurious event pressure control system oscillation H 2 Ar Ion Gauge On Relative Abundance 2.5x10-10 2.0x10-10 1.5x10-10 1.0x10-10 5.0x10-11 0.0 0 100 200 300 400 500 600 700 800 900 1000 5.0 torr 10% SiH 4 /Ar 640 o C 15s 500 A 4.0x10-10 H o 3.5x10-10 2 Ar 3.0x10-10 Mass Spec Signal 2.5x10-10 2.0x10-10 1.5x10-10 1.0x10-10 5.0x10-11 0.0-5.0x10-11 0 100 200 300 400 500 600 700 800

Metrology Validation & Process Extrapolation normal process: 650 o C, 5 torr, 35 sec, 1200A polysi experimental constraints: ~650 o C, ~500A equipment constraints: 300 sccm, 5 torr & >15 sec simulation result: 640 o C, 15 sec, 470A average mass spec estimate: 444A average polysi thickness (SIMS): 482A 1000 Estimated Thickness (A) In-Situ Mass Spec Estimated polysi Thickness (A, Mass Spec) 800 600 400 200 0 10 polysi RTCVD runs target thickness: ~500A 640 o C, 15 sec 300 sccm 10% SiH 4 /Ar 0 200 400 600 800 1000 polysi Thickness (A, SIMS) Actual Thickness (A) Off-Line SIMS

Mass Spec Sensing Viable & valuable for equipment faults gas flow, pumps,... Useful for some process faults SiH 4 polysi => SUCCESS other process chemistries => to be determined Potential application to real-time wafer state metrology Contributes to process mechanism knowledge base many chemistries not well understood knowledge capture in physically-based simulation

General Conclusions Real-time mass spectrometry o o Fault detection & classification equipment functionality complex process dynamics metrology Understanding of chemistry & physics Dynamic simulation for process analysis Tool to optimize manufacturing and environment o Platform for sensor interpretation and control o Physics- and chemistry-based Integration of in-situ diagnostics & dynamic simulation Process & equipment development o o Flexible manufacturing Environmental optimization

Acknowledgments National Science Foundation Semiconductor Research Corporation Leybold Inficon technical assistance & β-site interaction Visual Solutions, Inc. VisSim consultations John B. Flanigan, III technical assistance John R. Hauser, Prof. Brian F. Conaghan data management Patrick Bednarz, grad student Nanometrics measurements Gregory N. Parsons, Assoc. Prof. discussion