Silicon VLSI Technology. Fundamentals, Practice and Modeling

Similar documents
Photolithography II ( Part 1 )

Lecture 8. Photoresists and Non-optical Lithography

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

Introduction. Photoresist : Type: Structure:

Lecture 14 Advanced Photolithography

Micro- and Nano-Technology... for Optics

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

IC Fabrication Technology

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009.

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers.

Photolithography 光刻 Part II: Photoresists

Optical Proximity Correction

MICRO AND NANOPROCESSING TECHNOLOGIES

High Optical Density Photomasks For Large Exposure Applications

Introduction to Photolithography

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Overview of the main nano-lithography techniques

Far IR (FIR) Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Photoresist Profile. Undercut: negative slope, common for negative resist; oxygen diffusion prohibits cross-linking; good for lift-off.

X-Rays From Laser Plasmas

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas

EUV Lithography Towards Industrialization

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

= n. Psin. Qualitative Explanation of image degradation by lens + 2. parallel optical beam. θ spatial frequency 1/P. grating with.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Industrial Applications of Ultrafast Lasers: From Photomask Repair to Device Physics

EUVL Readiness for High Volume Manufacturing

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography

Lithography and Etching

Nanostructures Fabrication Methods

Top down and bottom up fabrication

PRINCIPLES OF PHYSICAL OPTICS

Study on Improved Resolution of Thick Film Resist (Verification by Simulation)

Nano fabrication by e-beam lithographie

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process

Chromeless Phase Lithography (CPL)

Sensors and Metrology. Outline

Techniken der Oberflächenphysik (Techniques of Surface Physics)

Overview of EUV Lithography and EUV Optics Contamination

Nanoimprint Lithography

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking

Carrier Transport by Diffusion

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

OPSE FINAL EXAM Fall 2015 YOU MUST SHOW YOUR WORK. ANSWERS THAT ARE NOT JUSTIFIED WILL BE GIVEN ZERO CREDIT.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Spring 2009.

Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

Pattern Transfer- photolithography

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

MSN551 LITHOGRAPHY II

Far IR (FIR) Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

MEMS Metrology. Prof. Tianhong Cui ME 8254

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media.

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Fabrication of micro-optical components in polymer using proton beam micro-machining and modification

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

Development of Lift-off Photoresists with Unique Bottom Profile

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks

Enhanced Transmission by Periodic Hole. Arrays in Metal Films

Nanosphere Lithography

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law

GRATING CLASSIFICATION

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa

Because light behaves like a wave, we can describe it in one of two ways by its wavelength or by its frequency.

Table of Contents. Foreword... Jörge DE SOUSA NORONHA. Introduction... Michel BRILLOUËT

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Coherence and width of spectral lines with Michelson interferometer

MODERN OPTICS. P47 Optics: Unit 9

Lecture 9: Introduction to Diffraction of Light

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

2. The figure shows the path of a portion of a ray of light as it passes through three different materials. Note: The figure is drawn to scale.

We published the text from the next page.

EUV lithography industrialization for HVM

Evaluation at the intermediate focus for EUV Light Source

Spatial Coherence Properties of Organic Molecules Coupled to Plasmonic Surface Lattice Resonances in the Weak and Strong Coupling Regimes

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Ecole Franco-Roumaine : Magnétisme des systèmes nanoscopiques et structures hybrides - Brasov, Modern Analytical Microscopic Tools

EE 434 Lecture 7. Process Technology

Microsystems Technology Laboratories i-stepperthursday, October 27, 2005 / site map / contact

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

OPSE FINAL EXAM Fall 2016 YOU MUST SHOW YOUR WORK. ANSWERS THAT ARE NOT JUSTIFIED WILL BE GIVEN ZERO CREDIT.

Nano Materials. Nanomaterials

= (fundamental constants c 0, h, k ). (1) k

Modeling of the fringe shift in multiple beam interference for glass fibers

Lecture 11: Introduction to diffraction of light

A Novel Approach to the Layer Number-Controlled and Grain Size- Controlled Growth of High Quality Graphene for Nanoelectronics

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists

Purpose: Explain the top 10 phenomena and concepts key to

Transcription:

Text Book: Silicon VLSI Technology Fundamentals, Practice and Modeling Authors: J. D. Plummer, M. D. Deal, and P. B. Griffin

Photolithography (Chap. 1) Basic lithography process Apply photoresist Patterned exposure Remove photoresist regions Etch wafer Strip remaining photoresist Mask Light Deposited Film Substrate Film deposition Photoresist Photoresist application Exposure Etch mask Development Etching Resist removal 2

Lithography The ability to print patterns with submicron features and to place patterns on a silicon substrate with better than 0.1 um precision. Lithography is arguably the single most important technology in IC manufacturing Gains have traditionally been paced by the development of new lithography tools, masks, photoresist materials, and critical dimension etch processes Considerations: Resolution Exposure field Placement accuracy (alignment) Throughput Defect density (mask, photoresist and process) 3

SIA NTRS Lithography Year of Produc tion 1998 2000 2002 2004 2007 2010 2013 2016 2018 Technolo gy Node (half pitch) 250 180 nm 130 nm 90 nm 65 nm 45 nm 32 nm 22 nm 18 nm nm MPU Printed Gate Le ngth 100 nm 70 nm 53 nm 35 nm 25 nm 18 nm 13 nm 10 nm DRAM Bits/ Chip (Sampl ing) 256M 512M 1G 4G 16G 32G 64G 128G 128G MPU Transistors/C hip (x10 6 ) 550 1100 2200 4400 8800 14,000 Gate C D Control 3 (nm) 3.3 2.2 1.6 1.16 0.8 0.6 Overlay (nm) 32 23 18 12.8 8.8 7.2 Fiel d Size (mm) 22x32 22x32 22x32 22x32 22x32 22x32 22x32 22x32 22x32 Exposure Tec hno logy 248 248 nm 248 nm 193nm 193nm + 193nm 193nm?????? nm + RET + RET RET + RET + RET + H 2 O + H 2 O 157nm?? Data Volume/Mas k lev el (GB) 216 729 1644 3700 8326 12490 0.7X in linear dimension every 3 years. Placement accuracy 1/3 of feature size. 35% of wafer manufacturing costs for lithography. Note the??? - single biggest uncertainty about the future of the roadmap. 4

Critical Dimensions (CD) Definitions Dimensions that must be maintained CD Control About 10% of minimum feature size. Expressed as 3-sigma as three standard deviations of the feature size population must be within the specified 10% of the mean) Placement or Alignment Accuracy Optical Lithography used through 0.18um to 0.13 um generation. (described in text) X-ray, e-beam and extreme ultraviolet are options beyond 0.1 um. 5

Wafer Exposure Aerial Image (Surface) It is convenient to divide the wafer printing process into three parts A: Light source, B. Wafer exposure system, C. Resist. Latent Image in Photoresist Aerial image is the pattern of P + P + N + N + TiN Local optical radiation striking the Interconnect Level N Well P Well (See Chapter 2) top of the resist. P Positive Photoresist exposed photoresist dissolves when processed Latent image is the 3D replica produced by chemical processes in the resist. 6

Masks Important Aspects Design, Fabrication, Reuse and Maintenance Photoresist Material, material properties, develop, operation during etch or mask process, post process removal Wafer Exposure System Exposure energy type, focus, linewidth/wavelength, diffraction effects (fringing), i depth of focus All Line width Alignment 7

A. Light Sources Decreasing feature sizes require the use of shorter wavelengths, λ. Traditionally mercury (Hg) vapor lamps have been used which generate many spectral lines from a high intensity plasma inside a glass lamp. Electrons are excited to higher energy levels by collisions in the plasma. Photons are emitted when the energy is released. g line - λ = 436 nm (typical (yp in 1990 s) i line - λ = 365 nm (used for 0.5 µm, 0.35 µm) Brightest sources in deep UV are excimer lasers Kr + NF 3 KrF photon emission (1) energy KrF - λ = 248 nm (used for 0.25 µm, 0.18µm, 0.13 µm) ArF - λ = 193 nm (used for 0.13µm, 0.09µm,... ) FF - λ = 157 nm (used for??) Issues include finding suitable resists and transparent optical components at these wavelengths. 8

B. Wafer Exposure Systems 1:1 Exposure Systems Usually 4X or 5X Reduction Light Source Optical System Three types of exposure systems have been used. Mask Photoresist Si Wafer Gap Contact Printing Proximity Printing Projection Printing Contact printing is capable of high resolution but has unacceptable defect densities (minimal diffraction effects, low cost, contact contaminants and defects) Proximity printing cannot easily print features below a few µm (diffraction effects exist, may be used for x-ray systems) Projection printing provides high resolution and low defect densities and dominates today (diffraction a concern) Typical projection systems use reduction optics (2X - 5X), step and repeat or step and scan mechanical systems, print 50 wafers/hour and cost $10-25M. 9

Diffraction (1) A simple example is the image formed by a small circular aperture (Airy disk). Note that t a point image is formed only if: λ 0, d, or f 0 R 1.22λ = d f 1.22 λf/d Diffraction is usually described in terms of two limiting cases: Fresnel diffraction - near field (proximity and contact systems) Fraunhofer diffraction - far field (projection systems) 10

Resolution The denominator is defined as the numerical aperture: NA n sinα (3) Where α represents the ability of the lens to collect diffracted light. The Resolution is then defined as 0.61λ λ R = = k (4) 1 NA NA k1 is an experimental parameter which depends on the lithography system and resist properties ( 0.4-0.8). Obviously resolution can be increased by: decreasing k1 Decreasing λ increasing NA (bigger lenses) 11

Depth of Focus While resolution can be increased by: decreasing k1 Decreasing λ increasing NA (bigger lenses) 0.61λ λ R = = k 1 (4) NA NA Higher NA lenses also decrease the depth of focus (DOF). (See text for derivation.) λ λ DOF = δ = ± = ± k (5) 2 2 2 NA NA ( ) ( ) 2 k2 is usually experimentally determined. Thus a 248nm (KrF) exposure system with a NA = 0.6 would have a resolution of R 0.3 µm (k1 = 0.75) and a DOF of ± 0.35 µm (k2 = 0.5). 12

Modulation Transfer Function Another useful concept is the modulation transfer function or MTF, defined as shown below. MTF depends on the feature size and on the spatial coherence of the light source Light Source Condenser Lens Mask Aperture Objective or Projection Lens Photoresist on Wafer MTF = I MAX I MIN I MAX + I (6) MIN Intensity at Mask Intensity on Wafer 1 1 I MAX Typically require MTF > 0.5 or resist has exposure problems I MIN 0 0 Position Position 13

Spatial Coherence Finally, another basic concept is the spatial coherence of the light source. Light Source Condensor Lens Mask Practical light sources are not point sources. s d Therefore, the light striking the mask will not be plane waves. The spatial coherence of the system is defined as light source diameter S = = condenser lens diameter s d (7) or often as S = NA NA condenser projection optics (8) Typically, S 0.5 to 0.7 in modern systems 14

Modulation Transfer Function Small degradation for large features Diffraction effect MTF s 0.5-0.7 for s 0 optical intensity decreases Lower contrast in the aerial image Less coherent light Improvement for very small features S=light source diameter/condenser diameter S=s/d S=NA condenser optics /NA projection optics

Photoresist Designed to respond to incident photons by changing their properties when exposed to light. Long-lived response require a chemical change Most resists are hydrocarbon-based materials. Photons break chemical nonds Positive resists become more soluble in the developer solution Typically used and have better resolution Negative resists do the opposite. Spin coating typically employed 16

Start with clean wafer Spin-on photoresist Processing Adhesion promoter may be required Viscosity and spin rate determine thickness and uniformity Create a film of 0.6 to 1 um depth Prebake to drive off solvents Alignment and Exposure Possible postbake Develop (remove unwanted photoresist) Etch Postbake to harden as an etchant mask Remove Photoresist Chemically or in an oxygen plasma 17

Sensitivity Resist Important Parameters How much light is required to expose the resist. g-line and i-line typically 100 mj cm -2 Too sensitive, unstable, temp. dependent, noise prone Resolution Diffraction limited resolution in the resist image Resist The ability to withstand etching or ion implantation or whatever after postbake 18

Basic Properties of Resists Two basic parameters are used to describe resist properties, contrast and the critical modulation transfer function or CMTF. Contrast allows distinguishing light and dark areas on the mask. ion of Resist Rem maining Fracti 1.0 0.75 0.5 0.25 0 Positive Resist 1.0 0.75 0.25 Negative Resist D Df 0 0.5 D f D 0 1 10 100 Exposure Dose (log) 0 1 10 100 Exposure Dose (log) Contrast (the slope) is defined as 1 γ = D (11) log f 10 D D 0 Typical g-line and i-line resists achieve contrast values, γ, of 2-3 and Df values of about 100 mj cm-2. DUV resists have much higher contrast values (5-10) and Df values of about 20-40 mj cm-2. 19

Ex xposure Dose 1.0 0.75 0.5 0.25 Critical MTF Areal Image D f D0 0 Position The aerial image and the resist contrast in combination, result in the quality of the latent image produced. (Gray area is partially exposed area which determines the resist edge sharpness.) By analogy to the MTF defined earlier for optical systems, the CMTF for resists is defined as CMTF resist = D f D 0 D f + D 0 = 101/γ 1 10 1/γ + 1 (12) Typical CMTF values for g and i-line resists are about 0.4. Chemically amplified DUV resists achieve CMTF values of 0.1-0.2. Lower values are better since in general CMTF < MTF is required for the resist to resolve the aerial image. 20

Manufacturing Methods and Equipment Slit of light to avoid optical aberration Full wafer scanning system Typically 1:1 mask to image Limited to larger features The systems use global alignment - difficult alignment on each die full mask difficult use steppers instead to improve overlay accuracy A slit is scanned across the wafer Slit and lens system minimize aberrations Difficult full wafer alignment

Manufacturing Methods and Equipment Stepper System 4x to 5x mask Step, align, scan-slit Combined stepper + scanner 4X-5X larger mask pattern- difference in scanning speeds.

Measurements of Masks Check Masks for Features and Defects Scan Make a new mask or Correct the errors Corrections = repairs made by lasers (evaporation of Cr=excess by focusing) Defects of sizes below critical dimensions will not print on PR

Measurement of Photoresist Patterns SEM has typically replaced optical microscopes (Photo courtesy of A. Vladar and P. Rissman, Hewlett Packard.) Resist pattern SEM Φ ebeam 10nm

Electrical Line Width Monitor Test structures to determine the effective line width Van der Pauw cross used to determine sheet resistivity The cross-bridge test structure ρ S = ρ = t π ln 2 V I 3 4 ( ) 5 6 R = V I 2 3 1 5 = ρ L t W = ρ S L W W = ρs I L V 1 5 V 2 3 resistor

Electrical Alignment Monitors Based on the cross bridge design Place a alternate mask layer to form a potentiometer. If centered, two resistors equal If not centered, resistance indicates distance offset Li Ri = ρs W R + R 2 1 2 ΔL i = R i W ρ S 26

Models and Simulation Lithography simulation relies on models from two fields of science: Optics to model the formation of the aerial image. Chemistry to model the formation of the latent image in the resist. A. Wafer Exposure System Models There are several commercially available simulation tools that t calculate l the aerial image - PROLITH, DEPICT, ATHENA. All use similar physical models. We will consider only projection systems. Light travels as an electromagnetic wave. ε + ( P, t) = C( W ) cos( ωt φ( t) ) (13) or, in complex exponential notation, jφ ( P) { } ( ) C ( W )ee ε jωt ( W, t ) = Re U ( W ) e where U W = (14) 27

Light Source x Condenser Lens Mask α Objective or Projection Lens Photoresist on Wafer Generic Projection System y Aperture z x 1 y 1 Plane x'y' Plane x y Plane The mask is considered to have a digital transmission function: After the light is diffracted, it is described by the Fraunhofer diffraction (far field) integral: t 1 in clear areas ( x, y (15) 1 1 ) = 0 in opaque areas ε + + 2πj ( x, y ) t ( x y ) e ε, 1 1 ( f x+ f y ) (16) x y = dxdy where f x and f y are the spatial frequencies of the diffraction pattern, defined as f x = x y and f y = zλ zλ 28

ε(x,y ) is the Fourier transform of the mask pattern. ε (17) ( f x, f y ) = F{ t( x1, y1 )} The light intensity is simply the square of the magnitude of the ε field, so that I ε 2 { } 2 x, f y = f x, f y = F t x1, y (18) 1 ( f ) ( ) ( ) Mask w/2 t(x) z x Example - consider a long rectangular slit. The Fourier transform of t(x) is in standard texts and is the sin(x)/x function. F{t(x)} Light Source Condenser Lens Mask Objective or Projection Lens Photoresist on Wafer α I(x') x y Aperture z x 1 y 1 Plane x ' y ' Plane x y Plane 29

But only a portion of the light is collected. P ( f f ) This is characterized by a pupil function: x 2 2 NA 1if f x + f y <, = λ y (19) 2 2 NA 0 if f + f > x y λ The objective lens now performs the inverse Fourier transform. ε ( ) ε 1 1 x y = F ( f, f ) P( f, f ) = F F t x, y P f, { } ( ), x y x y 1 1 { { } ( f )} resulting in a light intensity at the resist surface (aerial image) given by I i ε ( x, y) = ( x, y) 2 Summary: Lithography simulators perform these calculations, given a mask design and the characteristics of an optical system. These simulators are quite powerful today. Math is well understood and fast algorithms have been implemented in commercial tools. These simulators are widely used. Mask Transmittance 30 Lens Performs Inverse Fourier Transform ε(x,y) = F-1{ε(f x,f y )P(f x,f y )} t(x 1,y 1 ) Far Field Fraunhofer Diffraction Pattern ε(f x,f x ) = F{t(x 1,y 1 )} x y Light Intensity I i (x,y) = ε(x,y) 2 Pupil Function P(f x,f y ) (20) (21)

ATHENA simulator (Silvaco). Colors correspond to optical intensity in the aerial image. 3 2 1 2 1 2 1 Microns 0-1 -2 Microns 0-1 Microns 0-1 -3-3 -2-1 0 1 2 3 Microns Exposure system: NA = 0.43, partially coherent g-line illumination (λ = 436 nm). No aberrations or defocusing. Minimum feature size is 1 µm. -2-2 -1 0 1 2 Microns Same example except that the feature size has been reduced to 0.5 µm. Note the poorer image. -2-2 -1 0 1 2 Microns Same example except that the illumination wavelength has now been changed to i-line illumination i (λ = 365 nm) and the NA has been increased to 0.5. Note the improved image. 31

Optical Intensity Pattern in the Resist Aerial Image I i(x,y) Exposing Light Latent Image in Resist I(x,y,z) Latent Image The second step in lithography simulation is the calculation of the latent image in the resist. P+ N P+ N+ P N+ N Well P Well P The light intensity it during exposure in the resist is a function of time and position because of Light absorption and bleaching. Defocusing. Standing waves. These are generally accounted for by modifying Eqn. (21) as follows: I ( x y, z) I ( x, y) I ( x, y z), = i r, (22) where I i (x,y) is the AI intensity and I r (x,y,z) models latent image effects. 32

ATHENA Simulation Microns 0 0.4 0.8 1.2 0 0.8 1.6 2.4 Microns Calculation of light intensity distribution in a photoresist layer during exposure using the ATHENA simulator. A simple structure is defined with a photoresist layer covering a silicon substrate which has two flat regions and a sloped sidewall. The simulation shows the photoactive compound (PAC) calculated concentration after an exposure of 200 mj cm -2. Lower PAC values correspond to more exposure. The color contours thus correspond to the integrated t light intensity from the exposure. 33

Photoresist Exposure The light incident is primarily absorbed by the PAC which is uniformly distributed in the resist. Note: this analysis neglects standing wave effects Resist bleaching: PAC becomes more transmissive as it becomes exposed, as the PAC converts to carboxylic acid Modeling: The probability of absorption is proportional to the light intensity and the absorption coefficient.) di dz = α, ( z t) I (23) 34

Exposure Model The absorption coefficient depends on the resist properties and on the PAC α resist = A m + B (24) where A and B are resist parameters (first two Dill parameters) with A the absorption coefficient of bleached and B nonbleached resist. Defining the percentage of unexposed resit [ PAC] [ PAC] 0 m = (25) m is a function of time (m=1 unexposed t=0, m=0 fully exposed) and is given by (with C another Dill parameter dm = C I m (26) dt Substituting (24) into (23), we have: di = ( A m( z, t) + B) I dz Eqns. (26) and (27) are coupled equations which are solved simultaneously by resist simulators. 35 (27)

Conceptual Experimental Setup Light Source Condenser Lens Filter to Select Particular λ Photoresist on Transparent Substrate Transmitted Light Light Detector Transmittance 1 0.75 0.5 0.25 T T0 D 200 400 600 Exposure Dose (mj cm -2 ) A transparent substrate with a backside antireflective coating is used Typical experimental result By measuring T 0 and T, the Dill parameters, A, B and C, can be extracted. 36

Photoresist Baking A post exposure bake is sometimes used prior to developing the resist pattern. This allows limited diffusion of the exposed PAC and smoothes out standing wave patterns. Generally this is modeled as a simple diffusion process (see text). 0 0 Microns 0.4 0.8 Microns 04 0.4 0.8 1.2 1.2 0 0.8 1.6 2.4 0 0.8 1.6 2.4 Microns Microns Simulation on right after a post exposure bake of 45 minutes at 115 C. The color contours again correspond to the PAC after exposure. Note that t the standing wave effects apparent earlier have been smeared out by this bake, producing a more uniform PAC distribution. 37

Photoresist Developing (1) A number of models for resist developing have been proposed and implemented in lithography simulators. The simplest is purely empirical (Dill et.al). R ( x, y, z ) = 0.006 exp 1 0.006 exp E 2 ( E + E m + E m ) E 2 3 if m > 0.5 E E 2 + ( 1) 2 otherwise E3 1 E 2 3 (28) where R is the local developing rate and m is the local PAC after exposure. E 1, E 2 and E 3 are empirical constants. 38

Photoresist Developing (2) A more physically based model has been developed by Mack which models developer diffusion and reaction (much like the deposition models discussed in Chap. 9). See the text for details on this development model. F In steady state F 1 =F 2 and ( C C ) F = k C [ PAC] n 1 = kd D S 2 F 1 = F 2 = k D k k D R R C + k R S D [ PAC] [ PAC] n n But the rate is then r=f 1 =F 2 and CD D r = k R k C k [ PAC] ( 1 m) n D D + D n + ( 1 m) n 0 r min F1 F 3 C S F2 Developer Resist Substrate 39

Developing Model 0 0 Micro ons 0.4 0.8 Microns 0.4 0.8 1.2 1.2 0 0.8 1.6 2.4 Microns 0 0.8 1.6 2.4 Microns Example of the calculation of a developed photoresist layer using the ATHENA simulator. The resist was exposed with a dose of 200 mj cm -2, a post exposure bake of 45 min at 115 C was used and the pattern was developed for a time of 60 seconds, all normal parameters. The Dill development model was used. Center - part way through development. Right -complete development. 40

Future Trends Optical lithography will be extendible to the 65 nm generation (maybe further ). Beyond that, there is no general agreement on which approach to use. Possibilities include e-beam, e-beam projection (SCALPEL), x-ray and EUV. New resists will likely be required for these systems. From R. Socha, ASML, SPIE 41 Microlithography Conf. 2004

Techniques for Future Electronics Lithography and Other Patterning Techniques for Future Electronics By R. Fabian Pease, Fellow IEEE, and Stephen Y. Chou, Fellow IEEE Proceedings of the IEEE, Vol. 96, No. 2, February 2008 Projection Optics Light Sources: 248 193 nm (KrF and ArF excimer lasers) Immersion Optics: use a fluid instead of air Extreme Ultraviolet Lithography (EUVL) Resolution Enhancement Technology (RET) Absorbance Modulation Optical Lithography (AMOL) Electron and Ion Beam Lithography X-ray Lithography Nanoimprint Technology ogy 42

Summary of Key Ideas Lithography is the key pacing item for developing new technology generations. Exposure tools today generally use projection optics with diffraction limited performance. g and i-line resists based on DNQ materials and were used down to 0.35 µm. DUV resists use chemical amplification and are generally used below 0.35 µm. Lithography simulation tools are based on Fourier optics and do an excellent job of simulating optical system performance. Thus aerial images can be accurately calculated. Photoresist modeling (exposure, development, postbake) is less advanced because chemistry is involved which is not as well understood. Thus latent images are less accurately calculated today. A new approach to lithography may be required in the next 10 years. 43