Takeo Watanabe Center for EUVL, University of Hyogo

Similar documents
High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source

Beamline practice at BL01B1 (XAFS) In-situ XAFS measurement of catalyst samples

Measurement of EUV scattering from Mo/Si multilayer mirrors

Recent progress in nanoparticle photoresist development for EUV lithography

Methods of surface analysis

EUV and Soft X-Ray Optics

EUREKA: A new Industry EUV Research Center at LBNL

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Current Status of Inorganic Nanoparticle Photoresists

Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems

Multilayer Interference Coating, Scattering, Diffraction, Reflectivity

X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu

Introduction to X-ray Photoelectron Spectroscopy (XPS) XPS which makes use of the photoelectric effect, was developed in the mid-1960

Early Development of Dispersive X-Ray Absorption Spectrometer and Recent Extension of Dispersive Optics to Quick X-ray Reflectometory

EUV and Soft X-Ray Optics

X-ray Absorption at the Near-edge and Its Applications

Photoelectron spectroscopy Instrumentation. Nanomaterials characterization 2

Soft X-ray Absorption Spectroscopy Kenta Amemiya (KEK-PF)

Core Level Spectroscopies

Auger Electron Spectroscopy (AES)

Probing Matter: Diffraction, Spectroscopy and Photoemission

Electrochemical Cell for in-situ XAFS Measurements

Multilayer Optics, Past and Future. Eberhard Spiller

X-Ray Interaction with Matter: Absorption, Scattering and Refraction

Structural and Electronic properties of platinum nanoparticles studied by diffraction and absorption spectroscopy

Soft X-ray multilayer mirrors by ion assisted sputter deposition

Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa

Energy Spectroscopy. Excitation by means of a probe

EUV Resist-Fundamental Research

Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008

Seiichi Tagawa, Satoshi Enomoto, Akihiro Oshima

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Lecture 5. X-ray Photoemission Spectroscopy (XPS)

EXAFS. Extended X-ray Absorption Fine Structure

Studying Metal to Insulator Transitions in Solids using Synchrotron Radiation-based Spectroscopies.

Development of 2-Dimentional Imaging XAFS System at BL-4

Ultraviolet-Visible and Infrared Spectrophotometry

Far IR (FIR) Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Chemical State Analysis of SiO 2 /Si by Wavelength-Dispersive X-Ray Fluorescence

2D XRD Imaging by Projection-Type X-Ray Microscope

Q. Shen 1,2) and T. Toyoda 1,2)

NEW CORRECTION PROCEDURE FOR X-RAY SPECTROSCOPIC FLUORESCENCE DATA: SIMULATIONS AND EXPERIMENT

Making the Invisible Visible: Probing Antiferromagnetic Order in Novel Materials

Evaluation of Mo/Si multilayer for EUVL mask blank

MICRO AND NANOPROCESSING TECHNOLOGIES

Auger Electron Spectroscopy Overview

Understanding electron energy loss mechanisms in EUV resists using EELS and first-principles calculations

IV. Surface analysis for chemical state, chemical composition

A DIVISION OF ULVAC-PHI

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Characteristic features and societyaccountability. Synchrotron Radiation Facility. (Tohoku Ring: SLiT-J)

Efficient EUV source by use of a micro-target containing tin nanoparticles

A Plasmonic Photocatalyst Consisting of Silver Nanoparticles Embedded in Titanium Dioxide. Ryan Huschka LANP Seminar February 19, 2008

Synchrotron Methods in Nanomaterials Research

The Use of Synchrotron Radiation in Modern Research

プラズマ光源関連イオンの EBIT による分光

Evaluation at the intermediate focus for EUV Light Source

An Introduction to Diffraction and Scattering. School of Chemistry The University of Sydney

DUV ( nm ) Characterization of Materials: A new instrument, the Purged UV Spectroscopic Ellipsometer,

Colour Images from Compound Semiconductor Radiation Detectors Chapter 3. Alan Owens

The design of an integrated XPS/Raman spectroscopy instrument for co-incident analysis

Multilayer coating facility for the HEFT hard X-ray telescope

EUV Reflectometry for Determining the Optical Properties of Photoresists and Underlayer Materials Upon Irradiation at 13.5-nm

Nanoimprint Lithography

NEXAFS data normalization procedure: A case study at the C K edge *

Atomic Physics. Chapter 6 X ray. Jinniu Hu 24/12/ /20/13

SUPPLEMENTARY INFORMATION

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS

Introduction to Synchrotron Radiation

Photolithography II ( Part 1 )

A DIVISION OF ULVAC-PHI. Quantera II. Scanning XPS Microprobe

How Does It All Work? A Summary of the IDEAS Beamline at the Canadian Light Source

FUNDAMENTAL PARAMETER METHOD FOR THE LOW ENERGY REGION INCLUDING CASCADE EFFECT AND PHOTOELECTRON EXCITATION

Scattering by a Multi-Electron Atom, Atomic Scattering Factors; Wave Propagation and Refractive Index

Reference literature. (See: CHEM 2470 notes, Module 8 Textbook 6th ed., Chapters )

Introduction to XAFS. Grant Bunker Associate Professor, Physics Illinois Institute of Technology. Revised 4/11/97

Design of multilayer X-ray mirrors and systems

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

Applications of Micro-Area Analysis Used by JPS-9200 X-ray Photoelectron Spectrometer

Energy Spectroscopy. Ex.: Fe/MgO

Photoemission Electron Microscopy (PEEM)

This work was performed under the auspices of the U.S. Department of Energy by Lawrence Livermore National Laboratory under contract

Bandgap engineering through nanocrystalline magnetic alloy grafting on. graphene

X-Rays From Laser Plasmas

Physik und Anwendungen von weicher Röntgenstrahlung I (Physics and applications of soft X-rays I)

Soft X-ray Physics DELNOR-WIGGINS PASS STATE PARK

print first name print last name print student id grade

EUV Reflectivity measurements on Acktar Sample Magic Black

Development of a Compact XAFS Measurement Chamber under Atmospheric Pressure in the Soft X-ray Region

X-rays. X-ray Radiography - absorption is a function of Z and density. X-ray crystallography. X-ray spectrometry

Supplementary Information

Polymer Matrix Effects on EUV Acid Generation

BEUV nanolithography: 6.7 or 11 nm?

X-ray Energy Spectroscopy (XES).

Resist-outgas testing and EUV optics contamination at NIST

Mahmoud Abdellatief, PhD Materials Science BL Scientist SESAME Synchrotron Jordan

Ultraviolet-Visible and Infrared Spectrophotometry

Radiation Protection Considerations for the Cryogenic In-Vacuum Undulator of the EMIL Project at BESSY

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION

PB I FEL Gas-Monitor Detectors for FEL Online Photon Beam Diagnostics BESSY

Transcription:

Soft X-ray Absorption Spectroscopy using SR for EUV Resist Chemical Reaction Analysis Takeo Watanabe Center for EUVL, University of Hyogo

Outline 1) Background 2) Princple of X-ray absorption spectroscopy (XAS) 3) X-ray absorption spectroscopy measurement of CAR for the chemical reaction analysis. 4) Photon energy extension for the EUV resist chemical reaction analysis Probe photon energy region: 50 ev to 1,000 ev 5) Necessity of hard X-ray absorption spectroscopy 6) Summary

EUV lithography Rayleigh equation R k 1 NA 1 mm 100 nm 10 nm 1 nm Visible UV EUV g ray 436 nm ( 2.85eV ) i ray 365 nm ( 3eV ) KrF 248 nm ( 5.0 ev ) X ray ArF 193 nm( 6.5 ev ) EUV 13.5 nm( 91.8 ev ) Multi exposure is complicated, and isn t high cost efficient. Single exposure is necessary for NGL. Configuration of EUVL EUVL is a promising lithographic technology.

How to solve the RLS trade off? Achievement of high-quantum reaction yield of EUV resist is critical issue, for not only resolution, sensitivity, and LWR. BL-10 H + Decomposition Photo Acid Generator(PAG) Base Polymer Photo chemical reaction analysis at BL-10 of NewSUBARU In order to develop high-sensitivity EUV resist, photo chemical reaction analysis using EUV exposure light is necessary! It is very important to clarify the photo chemical reaction of EUV chemical amplified (CA) resist, by soft-x-ray absorption spectroscopy (XAS) for C, O, N, and F.

Necessity of the soft x-ray absorption spectroscopy Achievement of the EUV Resist specification. Since, chemical reaction of PAG does not detected by using FT IR, FT IR is not enough for analyzing chemical reaction of PAG. Chemical reaction analysis using real EUV light of EUV resist is significant. <Requirements specification > The film thickness is 20~50 nm. Chemical reaction analysis of EUV resist. Probe photo energy region of 50 ev to 1,000 ev is required for CAR. The soft x ray absorption spectroscopy

The soft x-ray absorption spectroscopy Synchrotron Radiation NewSUBARU BL 7B SR Au Mesh Photo electron Unoccupied orbital Occupied orbital electron Auger Grating A I 1 A I 2 Intensity = I 2 / I 1 To measure the change of the chemical bonding, the specific energy of the incident energy required for the measurement. For example, Carbon 1s core 280~330 ev Fluorine 1s core 690~730 ev Powerful tool for evaluating the change of the chemical bonding

The absorption spectrum of PHS-TBA PHS TBA π * C=C m n O O OH The peak of π* bonding at 285.5 ev doesn t change with increasing EUV dose. The benzene in the PHS did not decompose.

The absorption spectrum of PHS-TBA σ * O-C σ * C-C σ * C-H m O n O EUV irradiation m OH n O OH The decomposition reaction of TBA occurs with increasing EUV dose. OH

The new coating film on the grating is required 6 Schematic layout of BL-10 at NewSUBARU Incident angle 6 The low reflectivity of the conventional Nicoating diffraction grating for the spectrometer causes the weak light intensity for XAS. The new coating film on the grating is required! Ni 50 nm For considering general usage of material analysis by XAS at BL-10 beamline, the target energy of the new grating is designed for the absorption edge region of fluorine and transition metal elements which corresponds to the photon high energy region from 500 to 1,000 ev in the soft X-ray.

Bragg condition of periodic W/Si multilayer For the improvement of reflectance, multilayer film was coated on the grating. The high reflectivity is obtained by multilayer film with constructive interference from each layer. Bragg condition m λ = 2 d sinθ θ m = Integer (=1) λ = Wavelength d = Periodic thickness W/Si multilayer was considered to use to obtain the most highest reflectivity in the photon energy region from 500 to 1,000 ev.

Aperiodic multilayer design for new grating The designed W/Si multilayer has an aperiodic structure which consists of top two layers and ten periodic multilayer. The top two layers widely reflects at the low-photon energy region below 800 ev. d=10nm d= 8nm d= 7nm Ni The periodic structure reflects well at the high-photon energy region from 800 to 1,000 ev. We developed this W/Si aperiodic multilayer to obtain the high reflectivity at the target photon energy region from 500 to 1,000 ev.

Multilayer deposition system -4 Base pressure 1.5 10 Pa Ar gas pressure 1.7 Pa Magnetron sputtering deposition system (ULVAC) This magnetron sputtering system is generally used to deposit high quality Mo/Si multilayer for EUV optics.

The aperiodic W/Si-multilayer reflectivity To estimate the reflectivity of W/Si multilayer deposited on the grating, the reflectivity of the witness W/Si multilayer on the Si substrate was measured. Reflectivity measurement was carried out using the reflectometer of BL-10 beamline at NewSUBARU. BL-10 13.4% 0.7% The aperiodic W/Si multilayer had high reflectivity of more than 10% for the photon energy region from 500 to 930 ev.

The photon intensity with new grating The photon intensity was measured by the photodiode with the previous grating and the new grating. New grating previous grating 69 na Installed New grating 1.7 na We obtained the large photon intensity using the new diffraction grating in the target photon energy region.

Absorption spectrum of F 1s core level of Teflon 0.16 0.14 Intensity (arb. unit) 0.12 0.1 0.08 0.06 0.04 0.02 0 640 660 680 700 720 740 Photon energy (ev)

The model resist for the absorption spectroscopy PHS-TBA (Base polymer) m O n O poly (vinylphenol-cotert butylacrylate) OH PAG1: TPS-Imidate (30 wt%)

EUV exposure dose dependency of the absorption spectra of PAG1 @ F 1s core level Absorption (arb. unit) 0 mj/cm 2 5 mj/cm 2 10 mj/cm 2 20 mj/cm 2 40 mj/cm 2 80 mj/cm 2 Photon energy (ev)

EUV exposure dose dependency of the absorption spectra of PAG1 @ F 1s core level Absorption (arb. Unit) Absorption (arb. unit) Low energy bond is easier to decompose 0 mj/cm 2 5 mj/cm 2 10 mj/cm 2 20 mj/cm 2 40 mj/cm 2 80 mj/cm 2 High energy bond is not easy to decompose Photon energy (ev)

Absorption Spectra of carbon 1s core level in TPS Imidate Conventional reaction m O n O EUV 光 ( hν ) m O n O + e OH + OH S+ O 2 S CF 2 e H + S + + -N CF 2 S+ O 2 S CF 2 O 2 S CF 2 O 2 S CF 2 -N CF 2 H S+ + -N CF 2 O 2 S CF 2 O 2 S CF 2

Chemical reaction of TPS-Imidate Conventional reaction m O n O EUV 光 ( hν ) m O n O + e OH + OH S+ O 2 S CF 2 e H + S + + -N CF 2 S+ O 2 S CF 2 O 2 S CF 2 O 2 S CF 2 -N CF 2 H S+ + -N CF 2 O 2 S CF 2 O 2 S CF 2 Additional reaction? S+ O 2 S CF 2 -N O 2 S CF 2 CF 2 Single reaction by one photon ( Ionization reaction) + EUV light ( hν ) H + X 1 H + X 2 H + X 3 Multiple reaction by one photon ( Direct excitation reaction) +A 1+ + A 2 + SR absorption spectroscopy C π* bonding F 1sorbit

Absorption spectra of O, F, Co, Cu, and Zn using XAS with aperiodic grating We performed XAS measurement at the target energy region. The absorption spectrum of Zn L shell (2s and 2p core level) around the photo energy of 1,020 ev was clearly resolved. 543 ev 778 ev 1020 ev 697 ev 933 ev The XAS method using BL-10 beamline has a good capability to measure the absorption spectra to evaluate chemical bonding in the photon energy region from 50 to 1,000 ev.

The necessity to use hard X ray absorption spectroscopy The metal resist is one of the promising candidate for the use in EUV lithography. In soft x-ray region can cover C, O, N, F,..Zn. For the metal resist containing Zr, Hf, Sn et al., hard X- ray is required for the XAS.

Nano Particle Resist for EUVL SPIE News Room New oxide nanoparticle extreme UV photoresists achieve high sensitivity Christopher Ober and Emmanuel Giannelis Cornell University 15 September 2014, SPIE Newsroom. DOI: 10.1117/2.1201409.005552 Figure 1. Schematic of the extreme UV (EUV) nanoparticle photoresist with its core metal oxide and the organic ligand surrounding the core. ZrO 2 : Zirconium dioxide. HfO 2 : Hafnium oxide.

Nano Particle Resist for EUVL SPIE News Room New oxide nanoparticle extreme UV photoresists achieve high sensitivity Christopher Ober and Emmanuel Giannelis Cornell University 15 September 2014, SPIE Newsroom. DOI: 10.1117/2.1201409.005552 Figure 2. Schematic of the ligand displacement patterning mechanism for negativetone pattern formation. hν: Energy. H 2 O: Water.

Analysis using SR and Computer Science Wide band X-ray can be produced by synchrotron radiation. The X-ray photon energy region depends on the electron energy of the storage ring. For the chemical reaction analysis using X-ray absorption spectroscopy, the photon energy is very significant for the analysis of the target atom of the EUV resist. For the analysis of the light element such as C, O, N, and F et al., soft X-ray absorption spectroscopy is an adequate method. For the analysis of the metal element such as Hf, Zr, and Zn et al., hard X-ray absorption spectroscopy is an adequate method. In addition, computational calculation such as first-principle calculation et. al, is very effective method to more understanding the results of the X-ray absorption spectroscopy. In Hyogo Prefecture, we can use NewSUBARU (soft X-ray) and SPring-8 (hard X-ray), FOCUS and KEI super computers.

Chemical analysis using SR and Computer Science Synchrotron radiation More precise structural analysis for the material development Computer Science (Super computer) Experiments based on simulation prediction result

SR Nanotech Center at University of Hyogo, Beamline at SPring-8 Mirror Bending magnet BL08B2 Beamline Photon energy: 4 63keV 光学ハッチ Monochromator Mirror XAFS station Homogeneous X-ray Topography CT imaging Small angle X-ray Scattering Powder X-ray Diffractometry BL24XU Beamline Undulator Diamond Double Crystal Monochromator Offset 2000 mm Photon energy range: 8.8-12.8 kev Optical Hutch Si Double Crystal Monochromator Offset 40 mm Photon energy range: 4.7 37.8 kev Experimental Hutch 1 Experimental Hutch 2 Small angle X-ray Scattering High Resolution XAFS Experimental Hutch B2 X-ray CT Imaging Micro-Beam X-ray Diffractometry Bonse-Hart type Ultra-Small-Angle X-ray Scattering Experimental Hutch A1 Micro-Beam High Accurate X-ray Diffractometry 斜入射 X 線回折 小角 X 線散乱 Experimental Hutch A2 Experimental Hutch B1

Lithium Ion 2ndry Battery ( Li(NiCoAl)O 2 ) Charger Electrical Load e- I I e- Separator Separator - + - + Normalized absorbance 1.0 charge 2 charge 3 discharge 0.8 0.6 0.4 0.2 Discharge (Reduction) Charge (Oxidation) Electrolytes 電解質 電解質 Electrolytes LiMO 2 Li 1-x MO 2 + x Li + + x e - Li 1-x CoO 2 + x Li + + x e - LiCoO 2 0.0 8330 8340 8350 8360 8370 Photon energy [ev]

Summary We developed high reflective and wideband W/Si multilayer diffraction grating for the photon energy region from 500 to 1,100 ev. Thus now, the wide photon energy region from 50 ev to 11,00 ev can be support at BL10 beamline at NewSUBARU for the chemical reaction analysis in the soft X-ray region. The reflectivity of this aperiodic W/Si multilayer was 13 times higher than that of the previous Ni single layer. The photon intensity was significantly improved more than 40 times at the absorption edge of fluorine 1s core level. We measured the resist sample using XAS method. The fluorine decomposition reaction of the resist was clearly measured with good signalto-noise ratio. And, the absorption structures of standard 3d transition metal compounds were also resolved clearly. Soft X-ray absorption spectroscopy is effective method for the light element such as C, O, N, and F et al. at NewSUBARU light source at University of Hyogo. Hard X-ray absorption spectroscopy is effective method for the metal element such as Hf, Zr and Zn et al. at SR Nanotech Center at University of Hyogo.

ACKNOWLEDGEMENT 1) The chemical reaction analysis was supported by Kaken B, MEXT, Japan. 2) The upgrade of the absorption spectroscopy beamline at NewSUBARU was supported by Project for Creation of Research Platforms and Sharing of Advanced Research Infrastructure, MEXT, Japan. 3) I would like to thank Dr. Kazufumi Sato and Dr. Katsumi Ohmori of Tokyo Ohka Kogyo for providing the model resist materials. 4) I would like to thank Dr. Kazushi Yokoyama of SR Nano Technology Center, Uni. of Hyogo, for providing the documents of hard X-ray absorption spectroscopy.

Thank you for your kind attention!!