Effect of Pump Induced Particle Agglomeration On CMP of Ultra Low k Dielectrics

Similar documents
QUANTIFICATION OF PARTICLE AGGLOMERATION DURING CHEMICAL MECHANICAL POLISHING OF METALS AND DIELECTRICS

THE INFLUENCE OF PUMPS ON SURFACE PREPARATION

Christopher L. Borst Texas Instruments, Inc. Dallas, TX. William N. Gill Rensselaer Polytechnic Institute Troy, NY

PARTICLE ADHESION AND REMOVAL IN POST-CMP APPLICATIONS

Post Tungsten CMP Cleaner Development with Improved Organic and Particle Residue Removal on Silicon Nitride and Excellent Tungsten Compatibility

Holographic Characterization of Agglomerates in CMP Slurries

Surface Mediated Particle-particle Aggregation During CMP Slurry Delivery and Handling

Effect of Soft Agglomerates on CMP Slurry Performance

Metrology challenges in High volume ULK production Ulrich Mayer, Michael Hecker, Holm Geisler

Nano-sized ceria abrasive for advanced polishing applications in IC manufacturing

CHEMICAL MECHANICAL PLANARISATION OF DAMASCENE ARCHITECTURE SUBSTRATES

EV Group. Enabling processes for 3D interposer. Dr. Thorsten Matthias EV Group

Gold wire bonding on Low-k Material A new challenge for interconnection technology

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG

Basic Laboratory. Materials Science and Engineering. Atomic Force Microscopy (AFM)

Hybrid Wafer Level Bonding for 3D IC

A Mechanical Model for Erosion in Copper Chemical-Mechanical Polishing

Sensors and Metrology. Outline

Alternative deposition solution for cost reduction of TSV integration

Determining the Elastic Modulus and Hardness of an Ultrathin Film on a Substrate Using Nanoindentation

Frictional characteristics of exfoliated and epitaxial graphene

EE C247B / ME C218 INTRODUCTION TO MEMS DESIGN SPRING 2014 C. Nguyen PROBLEM SET #4

Synthetic Fused Silica Optical and technical grades

OPTIMIZATION OF DIELECTRICS SURFACE PREPARATION FOR VACUUM COATING

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

MICROCHIP MANUFACTURING by S. Wolf

Slide 1 Raymond Jin, Adcon Lab, Inc.

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide

Published by: PIONEER RESEARCH & DEVELOPMENT GROUP(

Graphene films on silicon carbide (SiC) wafers supplied by Nitride Crystals, Inc.

CVD: General considerations.

Carbon Nanotubes for Interconnect Applications Franz Kreupl, Andrew P. Graham, Maik Liebau, Georg S. Duesberg, Robert Seidel, Eugen Unger

SPCC Department of Bio-Nano Technology and 2 Materials Science and Chemical Engineering, Hanyang University, Ansan, 15588, Republic of Korea.

Reliability assessment for Cu/Low-k structure based on bump shear modeling and simulation method

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

NANO AND MICROSCALE PARTICLE REMOVAL

TCAD Modeling of Stress Impact on Performance and Reliability

Supplementary Figure 1 shows overall fabrication process and detailed illustrations are given

Nanomechanics Measurements and Standards at NIST

Nanometer Ceria Slurries for Front-End CMP Applications, Extendable to 65nm Technology Node and Beyond

Atomic Force Microscopy imaging and beyond

Computational Analysis for Composites

Analysis of carbon contamination on EUV mask using CSM/ ICS

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes

The Removal of Nanoparticles from Nanotrenches Using Megasonics

1 INTRODUCTION 2 SAMPLE PREPARATIONS

Thin Wafer Handling Challenges and Emerging Solutions

ECE520 VLSI Design. Lecture 8: Interconnect Manufacturing and Modeling. Payman Zarkesh-Ha

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION METROLOGY FOR

A CONTACT-MECHANICS BASED MODEL FOR DISHING AND EROSION IN

Stress in Flip-Chip Solder Bumps due to Package Warpage -- Matt Pharr

on Self-Assembly of Fullerene Molecules

Chemical Mechanical Planarization

Low Voltage Field Emission SEM (LV FE-SEM): A Promising Imaging Approach for Graphene Samples

Wafer-scale fabrication of graphene

Developments in CMP and Impact on CMP Consumables

Structuring and bonding of glass-wafers. Dr. Anke Sanz-Velasco

Temporary Wafer Bonding - Key Technology for 3D-MEMS Integration

MODELING RELIABILITY IN COPPER/LOW-K INTERCONNECTS AND VARIABILITY IN CMOS

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis

Thin Wafer Handling Debonding Mechanisms

Multilayer Wiring Technology with Grinding Planarization of Dielectric Layer and Via Posts

Supporting Information. Interfacial Shear Strength of Multilayer Graphene Oxide Films

Lecture 16 Chemical Mechanical Planarization

Simulation Analysis of Microchannel Deformation during LTCC Warm Water Isostatic Pressing Process Lang Ping, Zhaohua Wu*

Please allow us to demonstrate our capabilities and test us testing your samples!

EE 5344 Introduction to MEMS CHAPTER 6 Mechanical Sensors. 1. Position Displacement x, θ 2. Velocity, speed Kinematic

Passionately Innovating With Customers To Create A Connected World

What are Carbon Nanotubes? What are they good for? Why are we interested in them?

Supplementary Information for. Effect of Ag nanoparticle concentration on the electrical and

EE C245 ME C218 Introduction to MEMS Design Fall 2007

Thermal loads on optical glass

Biochemistry. Biochemical Techniques HPLC

Characterization of Low-Level, Oversize Particles in Abrasive Powders

Prediction of Encapsulant Performance Toward Fatigue Properties of Flip Chip Ball Grid Array (FC-BGA) using Accelerated Thermal Cycling (ATC)

Defense Technical Information Center Compilation Part Notice

Woon-Seong Kwon Myung-Jin Yim Kyung-Wook Paik

GENERAL ENGINEERING AND RESEARCH. Nano Capsule CMP Slurries: Enabling Localized Control of Chemical Exposure

Method Development. Creating the Perfect Standard Operating Procedure (SOP) 2007 HORIBA, Ltd. All rights reserved.

Standardization of Optical Particle Counters

Measurement of EUV scattering from Mo/Si multilayer mirrors

Industrial Applications of Ultrafast Lasers: From Photomask Repair to Device Physics

Supplementary information

Thermo-Mechanical Analysis of a Multi-Layer MEMS Membrane

Mechanical properties of very thin cover slip glass disk

LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING

Analysis of contact deformation between a coated flat plate and a sphere and its practical application

Establishment of a Traceability Chain in Metrology for Materials

Feature-level Compensation & Control. Process Integration September 15, A UC Discovery Project

Non-contact removal of 60-nm latex particles from silicon wafers with laser-induced plasma

Analytical solution for polish-rate decay in chemical mechanical polishing

2008 IMPACT Workshop. Faculty Presentation: CMP. By David Dornfeld, Mechanical Engineering, UC-Berkeley IMPACT CMP 1

Scanning Probe Microscopy (SPM)

SCALING OF THE ADHESION BETWEEN PARTICLES AND SURFACES FROM MICRON-SCALE TO THE NANOMETER SCALE FOR PHOTOMASK CLEANING APPLICATIONS

Three-dimensional thermo-mechanical analysis of layered elasticlplastic solids

MECHANICS, MECHANISMS, AND MODELING OF THE CHEMICAL MECHANICAL POLISHING PROCESS

Supporting Information. Metallic Adhesion Layer Induced Plasmon Damping and Molecular Linker as a Non-Damping Alternative

Nanotechnology. Gavin Lawes Department of Physics and Astronomy

CMOS Transistors, Gates, and Wires

SUPPORTING INFORMATION. Si wire growth. Si wires were grown from Si(111) substrate that had a low miscut angle

Transcription:

Effect of Pump Induced Particle Agglomeration On CMP of Ultra Low k Dielectrics Rajiv K. Singh, F.C. Chang and S. Tanawade, Gary Scheiffele Materials Science and Engineering Particle Science Engineering Center University of Florida, Gainesville FL

Outline Introduction and Challenges Objectives Experimental Design Results and Discussion Particle Oversize- Pump Variable Correlations Defectivity Measurements Correlation Defectivity- Oversize Conclusions

Back-end ITRS Roadmap 2005 2007 2010/2011 Technology Node (nm) 90 65 45 # of Metal levels 10 11 12 Average dielectric constant 3.1-3.6 2.7-3.0 2.3-2.6 Dishing Planarity (nm) 30 19 14 Min. defect particle size (nm) 50 32.5 22.5 Grand Challenges 45 nm/through 2010 Introduction of new materials to meet conductivity requirements Engineering manufacturable interconnect compatible with new materials and processes Summary of Issues Creates integration and material characterization challenges. Integration complexity, CMP damage, resist poisoning, dielectric constant degradation. More Complicated and Lower Defect Based CMP Processing

Particle Challenges in Cu/ULK CMP Integration of Ultra low K (ULK) Dielectrics Low Stress Polishing of fragile materials Process Simplification Easy Handling (High Stability) Enhanced Performance Reduced Defectivity >> Particle Size/Size Distribution and Oversize Affects CMP Performance

Polishing of Fragile materials Hardness of Low k materials Materials Silica Silicon-oxycarbide Porous SiLK (Dow Chemical) Porous Silica Dielectric constant (k) 4.1 3.3 2.2-2.7 1.9-2.2 Hardness (GPa) ~ 8 ~ 4 ~ 0.4 0.29 0.47 New materials are mechanically fragile and exhibit poor adhesion with substrate

Particle Size Distribution Effects Polishing Rate Concentration Defectivity Particle Size

Large Particle Defectivity Delamination δ δ 3 4 Papp = φ ( ) 2/3 2KE φ = Particle Size E = Young s Modulus P = Applied Load Scratches

Large Particle Settling Effects Increased Defectivity Due to Settling

Proposed Adoption of Low K Dielectrics for Various Editions of ITRS Roadmaps Dielectric Constant (Average) 3.6 3.4 3.2 3.0 2.8 2.6 2.4 2.2 2.0 1.8 1.6 1999 ed. 2001 ed. 2003 ed. 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 Year Technology Node (nm) OSG (2.5<k<2.8) OSG (2.2<k<2.4) Porous MSQ (2.2<k<2.4) Porous polymer (2.0<k<2.4) Ultra-low k (k<2.0) research required qualification/pre-production continuous Improvement OSG: organosilicate glass MSQ: Methyl sisesquioxane Push out in low k integration due to integration challenges 2004 90 2007 65 2010 45 2013 32

Pump Effects on CMP Slurries Pumps for Slurry Handling Can Significantly Affect Particle Characteristics Particle Size Distribution Oversize Particle Production Critical in Polishing of copper/low k dielectric ( more susceptible to scratches)

Pump Based Particle Agglomeration Pumps (Types) Bellows, Diaphragm, Centrifugal Typically bellows/diaphragm pumps are used have shown significant agglomeration in silica based slurries. (CT Associates) Agglomeration attributed to high shear stress in partial sample volumes. Magnetically levitated centrifugal pumps have shown considerable less agglomeration. (attributed to low shear rates/cavitation effect)

Objectives Role of Oversize Particles in Defectivity Generation in Low K/ ultra low k Polishing (most sensitive to oversize particles). Evaluation of Agglomeration-free pumping systems ( Centrifugal Pumps) for low k/ ultra low K polishing

Outline Introduction and Challenges Objectives Experimental Design Results and Discussion Particle Oversize- Pump Variable Correlations Defectivity Measurements Correlation Defectivity- Oversize Conclusions

Experimental Details Slurry Loop DI Water Pressure Gauge Air Supply Pump Dampener Flowmeter Out In Drain Particle Measurements Dynamic Light Scattering Average Particle Size Accusizer Optical Particle Counter Oversize Tail

Experimental Details CMP Polishing Systems IPEC 372 M 8 inch wafers Struers Table Top Polisher Wafers TEOS BD1 low k (k = 3.0), LKD 5109 (JSR) ULK ( k = 2.2) Slurries Planar Solutions Step II Slurry Cu 10 K Series Metrology Optical Scattering AFM : RMS and R max Optical Microscopy

Outline Introduction and Challenges Objectives Experimental Design Results and Discussion Particle Oversize- Pump Variable Correlations Defectivity Measurements Correlation Defectivity- Oversize Conclusions

Average Particle Size Vs Slurry turnovers particle size (µm) 0.25 0.2 0.15 0.1 0.05 Centrifugal Pump particle size (µm) 0.25 0.2 0.15 0.1 0.05 Bellows Pump 0 0 250 500 1000 0 0 250 500 1000 particle size (µm) 0.25 0.2 0.15 0.1 0.05 0 no. of turnovers Diaphragm Pump 0 250 500 1000 no. of turnovers no. of turnovers Average particle size remains constant for all pumps Independent of number of slurry turnovers

Oversize Distribution Bellows (12lit/min) Diaphragm (12lit/min) Centrifugal (12lit/min) Significant increase in particle tail in Bellows & Diaphragm pumps Insignificant change in particle tail in Centrifugal pump

12 10 Normalized Oversize Distribution Bellows Diaphragm Centrifugal C 500 /C 0 Turnovers 8 6 4 2 0 0 2 4 6 8 10 12 Particle Size (µm) Insignificant increase in oversize particles for centrifugal pump

Oversize Increase Vs Pump Speed 5 4.5 4 C1000/C0 turnovers 3.5 3 2.5 2 1.5 1 0.5 9 lit/min 12 lit/min 0 Centrifugal Diaphragm Bellows Centrifugal pump shows least oversize increase

Oversize Increase Vs Turnovers cum. con.(#/ml) 80000 70000 60000 50000 40000 30000 20000 10000 0 12 lit/min Centrifugal Diaphragm Bellows 250 turnover 500 turnover 1000 turnover cum. con.(#/ml) 9 lit/min 70000 60000 50000 250 turnover 40000 500 turnover 30000 1000 turnover 20000 10000 0 Centrifugal Diaphragm Bellows Centrifugal pump has least oversize increase in different turnovers and pump speeds

Pump vs Particle Size Distribution Average Size (no change) Concentration Oversize [significant change for positive displacement pumps] Particle Size

Outline Introduction and Challenges Objectives Experimental Design Results and Discussion Particle Oversize- Pump Variable Correlations Defectivity Measurements Correlation Defectivity- Oversize Conclusions

Diaphragm Pump (12 lit/min-1000 turnovers) Centrifugal Pump (12 lit/min-1000 turnovers) BD1 Wafer BD1 Wafer ULK Wafer ULK Wafer AFM AFM

Defectivity vs. Pump Speed scratch density (#/sq. mm) 5 4.5 4 3.5 3 2.5 2 1.5 1 0.5 0 BD1 wafer Centrifugal Diaphragm Bellows 9 lit/min 12 lit/min 9 lit/min Bellows 12 lit/min Bellows 1000 turnovers slurry from pump scratch density (#/sq. mm) 6 5 4 3 2 1 0 ULK wafer 9 lit/min 12 lit/min Centrifugal Diaphragm Bellows 1000 turnovers slurry from pump Increased defectivity with high pump speed 9 lit/min Bellows 12 lit/min Bellows Centrifugal pump has least defectivity

Scratch density (#/sq. mm) 4 3.5 3 2.5 2 1.5 1 0.5 0 Defectivity vs. Turnovers BD1 wafer Centrifugal Diaphragm 250 500 1000 Turnovers Centrifugal Diaphragm Scratch density (#/sq. mm) 5 4.5 4 3.5 3 2.5 2 1.5 1 0.5 0 ULK wafer 250 500 1000 Turnovers Centrifugal Diaphragm Increased defectivity with high turnovers for Diaphragm Centrifugal Diaphragm

RMS-Roughness vs. Pump types BD1 Wafer 0.25 0.2 Rms (nm) 0.15 0.1 0.05 9 liters/min 12 liters/min 0 Centrifugal-1000 turnover Diaphragm-1000 turnover Bellows-1000 turnover Least roughness observed in Centrifugal pump

R Max (nm) Surface Damage & Pump Speed 1.8 1.6 1.4 1.2 1 0.8 0.6 0.4 0.2 0 Centrifugal-1000 turnover Diaphragm-1000 turnover Bellows-1000 turnover 9 liters/min 12 liters/min AFM-Centrifugal 12 liters/min AFM-Diaphragm 12 liters/min AFM-Bellows 12 liters/min

Surface Roughness Vs number of Turnovers 0.25 0.2 BD1 Wafer-12 liters/min Rms (nm) 0.15 0.1 0.05 Centrifugal Diaphragm 0 250 turnover 1000 turnover AFM-Centrifugal AFM-Diaphragm AFM-Centrifugal AFM-Diaphragm 250 turnover 250 turnover 1000 turnover 1000 turnover

Oversize Distribution Bellows (12lit/min) Diaphragm (12lit/min) Centrifugal (12lit/min) Significant increase in particle tail in Bellows & Diaphragm pumps Insignificant change in particle tail in Centrifugal pump

Oversize increase Vs Defectivity scratch density (#/sq. mm) 7 6 5 4 3 2 1 0 Centrifugal Pump Conventional Pumps 1 1.5 2 2.5 3 3.5 Normalized oversize particles 2 RMS roughness (nm) 0.25 0.20 0.15 0.10 0.05 Centrifugal Pump Conventional Pumps 0.00 1 1.5 2 2.5 3 3.5 Normalized oversize particles 2 Excellent Correlation between oversize particle increase with RMS roughness and scratch density

Conclusions Bellows and diaphragm pumping systems result in significant agglomeration ( oversize particles) of low k slurries. The degree of agglomeration depends on slurry turnovers and pump speed. Centrifugal pumps based on magnetic levitation did not show appreciable particle agglomeration in low k slurries. Significant Increase in Defectivity (scratches, roughness, particle deposition) were observed in conventional pump processed slurries Least Defectivity observed for Centrifugal pump processed slurries Excellent Correlation between roughness/ defect density and the degree of agglomeration

Acknowledgements The authors would like to thank Levitronix for their financial support on this project. The authors also thank Christos Monovoukas for his technical input in this project.