Written reexam with solutions for IE1204/5 Digital Design Monday 14/

Similar documents
Written exam for IE1204/5 Digital Design with solutions Thursday 29/

Written exam with solutions IE Digital Design Friday 21/

Written exam with solutions IE1204/5 Digital Design Friday 13/

Written exam with solutions IE1204/5 Digital Design Monday 23/

EE40 Lec 15. Logic Synthesis and Sequential Logic Circuits

Dr. Nicola Nicolici COE/EE2DI4 Midterm Test #2 Nov 22, 2006

King Fahd University of Petroleum and Minerals College of Computer Science and Engineering Computer Engineering Department

The Design Procedure. Output Equation Determination - Derive output equations from the state table

Sample Test Paper - I


EE 209 Logic Cumulative Exam Name:

EE 209 Spiral 1 Exam Solutions Name:

11.1 As mentioned in Experiment 10, sequential logic circuits are a type of logic circuit where the output of

Vidyalankar S.E. Sem. III [CMPN] Digital Logic Design and Analysis Prelim Question Paper Solution

Philadelphia University Student Name: Student Number:

Boolean Algebra and Digital Logic 2009, University of Colombo School of Computing

Digital Logic: Boolean Algebra and Gates. Textbook Chapter 3

Reg. No. Question Paper Code : B.E./B.Tech. DEGREE EXAMINATION, NOVEMBER/DECEMBER Second Semester. Computer Science and Engineering

CHAPTER 7. Exercises 17/ / /2 2 0

Fundamentals of Digital Design

BER KELEY D AV IS IR VINE LOS AN GELES RIVERS IDE SAN D IEGO S AN FRANCISCO

King Fahd University of Petroleum and Minerals College of Computer Science and Engineering Computer Engineering Department

Department of Electrical & Electronics EE-333 DIGITAL SYSTEMS

ELEC Digital Logic Circuits Fall 2014 Sequential Circuits (Chapter 6) Finite State Machines (Ch. 7-10)

( c) Give logic symbol, Truth table and circuit diagram for a clocked SR flip-flop. A combinational circuit is defined by the function

Different encodings generate different circuits

Philadelphia University Student Name: Student Number:

CHAPTER1: Digital Logic Circuits Combination Circuits

Assignment # 3 - CSI 2111(Solutions)

Vidyalankar S.E. Sem. III [ETRX] Digital Circuits and Design Prelim Question Paper Solution

CPE100: Digital Logic Design I

Appendix B. Review of Digital Logic. Baback Izadi Division of Engineering Programs

DE58/DC58 LOGIC DESIGN DEC 2014

7 Multipliers and their VHDL representation

Mealy & Moore Machines

Roger L. Tokheim. Chapter 8 Counters Glencoe/McGraw-Hill

Synchronous Sequential Logic

Fundamentals of Computer Systems

Gates and Flip-Flops

UNIVERSITY OF BOLTON SCHOOL OF ENGINEERING BENG (HONS) ELECTRICAL & ELECTRONICS ENGINEERING EXAMINATION SEMESTER /2017

ECE 448 Lecture 6. Finite State Machines. State Diagrams, State Tables, Algorithmic State Machine (ASM) Charts, and VHDL Code. George Mason University

Chapter 14 Sequential logic, Latches and Flip-Flops

Fundamentals of Computer Systems

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview

WORKBOOK. Try Yourself Questions. Electrical Engineering Digital Electronics. Detailed Explanations of

CSC9R6 Computer Design. Practical Digital Logic

Fundamentals of Boolean Algebra

DHANALAKSHMI COLLEGE OF ENGINEERING, CHENNAI DEPARTMENT OF COMPUTER SCIENCE AND ENGINEERING CS6201 DIGITAL PRINCIPLES AND SYSTEM DESIGN

ELECTRONICS & COMMUNICATION ENGINEERING PROFESSIONAL ETHICS AND HUMAN VALUES

Design of Sequential Circuits

Lecture A: Logic Design and Gates

University of Toronto Faculty of Applied Science and Engineering Edward S. Rogers Sr. Department of Electrical and Computer Engineering

Synchronous Sequential Circuit

3. Complete the following table of equivalent values. Use binary numbers with a sign bit and 7 bits for the value

CPE100: Digital Logic Design I

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 7 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering

ENGG 1203 Tutorial_9 - Review. Boolean Algebra. Simplifying Logic Circuits. Combinational Logic. 1. Combinational & Sequential Logic

ECE 341. Lecture # 3

CS/COE1541: Introduction to Computer Architecture. Logic Design Review. Sangyeun Cho. Computer Science Department University of Pittsburgh

Digital Logic Appendix A

Show that the dual of the exclusive-or is equal to its compliment. 7

Faculty of Engineering. FINAL EXAMINATION FALL 2008 (December2008) ANSWER KEY

ELEN Electronique numérique

Computers also need devices capable of Storing data and information Performing mathematical operations on such data

CPE/EE 422/522. Chapter 1 - Review of Logic Design Fundamentals. Dr. Rhonda Kay Gaede UAH. 1.1 Combinational Logic

Please read carefully. Good luck & Go Gators!!!

Chapter 7 Logic Circuits

University of Florida EEL 3701 Summer 2015 Dr. Eric. M. Schwartz Department of Electrical & Computer Engineering Tuesday, 30 June 2015

University of Florida EEL 3701 Fall 2014 Dr. Eric. M. Schwartz Department of Electrical & Computer Engineering Wednesday, 15 October 2014

CprE 281: Digital Logic

Pin Details of Digital Logic Gates:

Digital Logic. CS211 Computer Architecture. l Topics. l Transistors (Design & Types) l Logic Gates. l Combinational Circuits.

DIGITAL LOGIC CIRCUITS

KUMARAGURU COLLEGE OF TECHNOLOGY COIMBATORE

I. Motivation & Examples

Appendix A: Digital Logic. Principles of Computer Architecture. Principles of Computer Architecture by M. Murdocca and V. Heuring

EECS 270 Midterm 2 Exam Answer Key Winter 2017

CS 226: Digital Logic Design

University of Toronto Faculty of Applied Science and Engineering Edward S. Rogers Sr. Department of Electrical and Computer Engineering

Principles of Computer Architecture. Appendix B: Reduction of Digital Logic. Chapter Contents

Number System. Decimal to binary Binary to Decimal Binary to octal Binary to hexadecimal Hexadecimal to binary Octal to binary

Unit II Chapter 4:- Digital Logic Contents 4.1 Introduction... 4

Digital Electronics Final Examination. Part A

Boolean Algebra. Digital Logic Appendix A. Postulates, Identities in Boolean Algebra How can I manipulate expressions?

Synchronous Sequential Circuit Design. Digital Computer Design

XI STANDARD [ COMPUTER SCIENCE ] 5 MARKS STUDY MATERIAL.

UNIVERSITI TENAGA NASIONAL. College of Information Technology

ECE 2300 Digital Logic & Computer Organization

S.Y. Diploma : Sem. III [CO/CM/IF/CD/CW] Digital Techniques

Sequential vs. Combinational

Lecture 17: Designing Sequential Systems Using Flip Flops

Review for B33DV2-Digital Design. Digital Design

Schedule. ECEN 301 Discussion #25 Final Review 1. Date Day Class No. 1 Dec Mon 25 Final Review. Title Chapters HW Due date. Lab Due date.

CpE358/CS381. Switching Theory and Logical Design. Summer

CMPE12 - Notes chapter 1. Digital Logic. (Textbook Chapter 3)

Combinatorial Logic Design Multiplexers and ALUs CS 64: Computer Organization and Design Logic Lecture #13

Systems I: Computer Organization and Architecture

MAHALAKSHMI ENGINEERING COLLEGE TIRUCHIRAPALLI

Counters. We ll look at different kinds of counters and discuss how to build them

Boolean Algebra. Digital Logic Appendix A. Boolean Algebra Other operations. Boolean Algebra. Postulates, Identities in Boolean Algebra

Transcription:

Written reexam with solutions for IE204/5 Digital Design Monday 4/3 206 4.-8. General Information Examiner: Ingo Sander. Teacher: William Sandqvist phone 08-7904487 Exam text does not have to be returned when you hand in your writing. Aids: No aids are allowed! The exam consists of three parts with a total of 4 tasks, and a total of 30 points: Part A (Analysis containes ten short questions. Right answer will give you one point. Incorrect answer will give you zero points. The total number of points in Part A is 0 points. To pass the Part A requires at least 6p, if fewer points we will not look at the rest of your exam. Part A2 (Methods contains two method problems on a total of 0 points. To pass the exam requires at least points from A A2, if fewer points we will not look at the rest of your exam. Part B (Design problems contains two design problems of a total of 0 points. Part B is corrected only if there are at least p from the exam A- Part. NOTE! At the end of the exam text there is a submission sheet for Part A, which shall be separated and be submitted together with the solutions for A2 and B. For a passing grade (E requires at least points on the exam. If exactly 0p A(6pA2(4p, (FX, completion to (E will be offered. Grades are given as follows: 0 6 9 22 25 F E D C B A The result is expected to be announced before Monday 4/4 206.

Part A: Analysis Only answers are needed in Part A. Write the answers on the submission sheet for Part A, which can be found at the end of the exam text.. p/0p A function f(x, y, z is described by the expression: f ( x, y, z = ( z x( x z( xyz Write down the function as a minimized product of sums, PoS. f ( x, y, z = { PoS } = min?. Proposed solution. f ( x, y, z = ( z x( x z( xyz ( z x = zx z x = { dm} = ( z x( z x ( xyz = { dm} = ( x y z f ( x, y, z = ( z x( z x( x y z = = ( z x( z x 2. p/0p A special case of addition is when a binary number x (x N x x 0 is incremented with, S = x. One can then build a simplified adder as in the figure. Since there is one number and not two numbers being added it is enough to use half adders (HA instead of full adders (FA. The first stage can be further simplified. Derive the functions S 0 and C out0 for this first stage. S =?? 0 C = out0 2. Propsed solution. It is enough that the blocks are half adders instead of full adders. The first stage just need an inverter. 3. p/0p Two two-complement 4 bit binary numbers are x = and y =. Give the result of the multiplication x y as a two-complement 8-bit binary number (sign extended to 8 bit. 3. Proposed solution. x = = - ( = - 2 = -6 0 y = 2 = 3 0-6 3 = -8-8 0 (8-bit = - 2 = ( 2 = 2 (238 2

4. p/0p Given is a Karnaugh map for a function of four variables Y = f(x 3, x 2, x, x 0. Write the function as a minimized Y min sum of products, on SoP form. - in the map means don t care. 4. Proposed solution. Y = x x x x x x x min 2 0 3 2 3 2 x 5. p/0p Draw a circuit with NOR-gates that corresponds to the Venn-diagram in the figure. ( white area = 0, dark area =. The complement of the variables are not available. Z = f( xy, 5. Proposed solution. Z = x y = x y = { dm} = x y 6. p/0p The figure below shows a circuit with two NOR gates and one NAND-gate. Simplify the function Y = f( a, b, c as much as possible. 6. Proposed solution. Y = ( a c b c = { dm} = a c b c = = { dm} = a c b c = a b c 3

7. p/0p Give an expression for the logical function realized by the CMOS circuit in the figure? Give the function on the SoP form. Y = f(a, B, C =? 7. Proposed solution. The circuit has three inverters that first inverts the signals A B and C to A B C before they proceed. The lower part of the circuit, Pull Down Network, gives us the condition of 0, for Y. Finally Y is obtained with de Morgan Law. 8. p/0p A synchronous counter as shown above starts with the state q q 0 =. Specify the count sequence for the next four clock pulses. 8. Proposed solution. 4

9. p/0p For a JK-flip flop, as you probably remember, the following rules apply: JK: Q remains the same JK: 0 Q is reset to 0 JK: Q toggles value JK: 0 Q is set to Show (draw how to make a JK flip-flop of the D flip-flop and a 4: multiplexer. In addition to Q and its inverse, there are constants and 0 available. A copy of the figure is also on the submission sheet. 9. Proposed solution. 0. p/0p The following is the VHDL code for a logic function. What is the function? library ieee; use ieee.std_logic_64.all; entity GATE_ent is port( x: in std_logic; y: in std_logic; F: out std_logic ; end GATE_ent; architecture behv of GATE_ent is begin process(x, y begin if (x='0' and y='0' then F <= ''; else F <= '0'; end if; end process; end behv; 0. Proposed solution. F = x y = x y = { dm} = x y NOR ( or bubble AND 5

Part A2: Methods Note! Part A2 will only be corrected if you have passed part A ( 6p. 4p Light Gun - emergency equipment for traffic control tower. You shall construct a combinatorial circuit for a signal lamp for traffic control tower (emergency. With an 8-position binary coded switch one selects Mode M: m 2 m m 0. Turned off, steady green (G light, flashing green light, steady red (R light, flashing red light, flashing white light alternately green and red light, and steady white (W light. Flashing lights are controlled by x pulses from a pulse generator. See the figures. A trigger circuit with three AND gates are also in the figure (for eg. Morse signaling, but this part of the equipment is given, and is not included in the task. a (p Set up the truth table for the relationship between GRW and xm 2 m m 0. b (2p Draw the Karnaugh maps for the three output signals G R W and derive the minimized expressions for G = f(x,m 2,m,m 0, R = f(x,m 2,m,m 0 and W = f(x,m 2,m,m 0 on SoP-form. c (p Draw the combinatorial circuit using optional gates. (No inverted variables are available.. Proposed solution. For mode 6, when G and R are alternating, it is important that the G and R have opposite values in the truth table rows 6 and 4! 6

2. 6p A synchronous sequential circuit, a Moore machine, have one input signal x and one output signal out. The circuit state diagram is shown in the figure below right. In the figure is shown where x q q 0 and out is placed in the state symbol. If the circuit would "end up" in a state outside of the sequence described by the state diagram, the circuit should remain in the state but with out = 0 and an additional output error =. The additional output error should always be 0 otherwise. The Moore machine uses D-flip-flops. a (p Derive the encoded state table. q q0 = f ( x, qq0 b (2p Derive minimized expressions for next state. q = f x, q q = f x, q ( q0 0 ( q0 c (p Derive minimized expressions for the output functions. out = f ( q q q 0 error = f q also draw wiring diagram for these with optional gates. ( 0 d (2p Construct the circuit, use two 4: multiplexers and not more than one inverter to the next state functions q = f x, q q q = f ( x, q ( 0 0 q0 You should indicate what is to be connected to multiplexers data inputs. See the figure to the right. q : mux q 0 : mux 0 0 0 0 =? =? 7

2. Proposed solution. 8

Part B. Design Problems Note! Part B will only be corrected if you have passed part AA2 ( p. 3. 5p Synchronous sequential circuit. Detector for specific event. For a Moore machine applies to the output signal z = if and only if the input signal is w = at the clock pulse, and if of the previous clock pulses at exactly one time the input signal was, and at least one time the input signal was 0. Otherwise, the output is 0. (After a short reset pulse of clr =, the machine is ready to detect the "event" again. a (3p Derive the state table and state diagram based on the description in the text. A completely different Moore machine has two input signals and one output signal. The machine has six states according to the state diagram in the right figure. Output value is written in square brackets inside the states []. b (2p State minimize the machine, and derive the state table and state diagram of the minimized circuit. 3. Proposed solution. a b ( ace ( bdf a ( ace a c e ( ace ( ae ( c( bdf b ( ae d f c e b 0 0 0 0 d f 0 0 ( ae ( ae a c e d f b ( ace ( ae a c e b 0 0 0 0 d f 0 0 ( ace ( ace ( ace ( ae ( ae 9

( ae ( b( c( df now minimized. ( ae = A ( b = B ( c = C ( df = D 4. 5p Frequency divider with ratio :.5. A computer system have a 90 MHz clock. We want to divide the frequency by a factor of.5 down to a frequency of 60 MHz. For this you need an asynchronous sequential circuit. See the figure. a Set up a proper flow table for the sequence circuit. Draw the state diagram. b Do a suitable state assignement with an exitation table which gives a circuit that is free of critical race. (Comment on how this has been achieved. You should also derive hazard free expressions (comment on how this has been achieved for the next state and an expression for output. You do not need draw any schematic. 4. Proposed solution. Code has Hamming distance. Hazard cover included. Good Luck! 0

Submission sheet for Part A Sheet (remove and hand in together as sheet no with your answers for part A2 and part B Last name: Given name: Personal code: Sheet: Write down your answers for the questions from Part A ( to 0 Question Answer f ( x, y, z = { PoS } = min? 2 3 4 S =?? 0 C = out0 x y (8 bit 2-complement =? Y = {SoP} min 5 Z = f( xy, 6 7 8 Y = f( a, b, c Y = f(a, B, C =? q q 0 =???????? 9 0 (VHDL program What is the function? This table is completed by the examiner!! Part A (0 Part A2 (0 Part B (0 Total (30 Poäng 2 3 4 Sum Grade