Synchronous Sequential Circuit Design

Similar documents
Synchronous Sequential Circuit Design. Dr. Ehab A. H. AL-Hialy Page 1

Week-5. Sequential Circuit Design. Acknowledgement: Most of the following slides are adapted from Prof. Kale's slides at UIUC, USA.

ELE2120 Digital Circuits and Systems. Tutorial Note 10

Sequential Circuit Design

Module 10: Sequential Circuit Design

Ch 9. Sequential Logic Technologies. IX - Sequential Logic Technology Contemporary Logic Design 1

Ch 7. Finite State Machines. VII - Finite State Machines Contemporary Logic Design 1

The Design Procedure. Output Equation Determination - Derive output equations from the state table

Different encodings generate different circuits

or 0101 Machine

3. Complete the following table of equivalent values. Use binary numbers with a sign bit and 7 bits for the value

CprE 281: Digital Logic

State Graphs FSMs. Page 1

CSE140L: Components and Design Techniques for Digital Systems Lab. FSMs. Instructor: Mohsen Imani. Slides from Tajana Simunic Rosing

Lecture 14 Finite state machines

Sequential Circuit Analysis

Let s now begin to formalize our analysis of sequential machines Powerful methods for designing machines for System control Pattern recognition Etc.

Parity Checker Example. EECS150 - Digital Design Lecture 9 - Finite State Machines 1. Formal Design Process. Formal Design Process

Q: Examine the relationship between X and the Next state. How would you describe this circuit? A: An inverter which is synched with a clock signal.

Finite State Machine (FSM)

14.1. Unit 14. State Machine Design

FSM model for sequential circuits

Example: vending machine

ENGG 1203 Tutorial. Solution (b) Solution (a) Simplification using K-map. Combinational Logic (II) and Sequential Logic (I) 8 Feb Learning Objectives

ENGG 1203 Tutorial _03 Laboratory 3 Build a ball counter. Lab 3. Lab 3 Gate Timing. Lab 3 Steps in designing a State Machine. Timing diagram of a DFF

COE 202: Digital Logic Design Sequential Circuits Part 3. Dr. Ahmad Almulhem ahmadsm AT kfupm Phone: Office:

COE 202: Digital Logic Design Sequential Circuits Part 3. Dr. Ahmad Almulhem ahmadsm AT kfupm Phone: Office:

Latches. October 13, 2003 Latches 1

Synchronous Sequential Circuit Design. Digital Computer Design

Chapter 6 Introduction to state machines

5 State Minimisation. university of applied sciences hamburg. Digital Systems. Prof. Dr. J. Reichardt Prof. Dr. B. Schwarz

Lecture 10: Synchronous Sequential Circuits Design

King Fahd University of Petroleum and Minerals College of Computer Science and Engineering Computer Engineering Department

ELE2120 Digital Circuits and Systems. Tutorial Note 9

Finite State Machine. By : Ali Mustafa

ELCT201: DIGITAL LOGIC DESIGN

King Fahd University of Petroleum and Minerals College of Computer Science and Engineering Computer Engineering Department

Sequential Synchronous Circuit Analysis

EGR224 F 18 Assignment #4

11.1 As mentioned in Experiment 10, sequential logic circuits are a type of logic circuit where the output of

Digital Logic Design - Chapter 5

ELCT201: DIGITAL LOGIC DESIGN

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 7 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering

12. Finite State Machine Design

EET 310 Flip-Flops 11/17/2011 1

Models for representing sequential circuits

Introduction EE 224: INTRODUCTION TO DIGITAL CIRCUITS & COMPUTER DESIGN. Lecture 6: Sequential Logic 3 Registers & Counters 5/9/2010

EECS150 - Digital Design Lecture 17 - Sequential Circuits 3 (Counters)

UNIVERSITY OF BOLTON SCHOOL OF ENGINEERING BENG (HONS) ELECTRICAL & ELECTRONICS ENGINEERING EXAMINATION SEMESTER /2017

Synchronous Sequential Logic Part I. BME208 Logic Circuits Yalçın İŞLER

ECE380 Digital Logic. Synchronous sequential circuits

Chapter 6. Synchronous Sequential Circuits

Sequential Logic Optimization. Optimization in Context. Algorithmic Approach to State Minimization. Finite State Machine Optimization

Counters. We ll look at different kinds of counters and discuss how to build them

Generalized FSM model: Moore and Mealy

EECS150 - Digital Design Lecture 18 - Counters

EECS150 - Digital Design Lecture 18 - Counters

6. Finite State Machines

Topic 8: Sequential Circuits

IE1204 Digital Design. L10: State Machines (Part 2) Masoumeh (Azin) Ebrahimi Elena Dubrova

Last lecture Counter design Finite state machine started vending machine example. Today Continue on the vending machine example Moore/Mealy machines

Chapter 7. Synchronous Sequential Networks. Excitation for

ELEC Digital Logic Circuits Fall 2014 Sequential Circuits (Chapter 6) Finite State Machines (Ch. 7-10)

Chapter 5 Synchronous Sequential Logic

Digital Logic and Design (Course Code: EE222) Lecture 19: Sequential Circuits Contd..

Sequential Logic (3.1 and is a long difficult section you really should read!)

CSE370 HW6 Solutions (Winter 2010)

EECS150 - Digital Design Lecture 23 - FSMs & Counters

CS221: Digital Design. Dr. A. Sahu. Indian Institute of Technology Guwahati

CPE100: Digital Logic Design I

EEE2135 Digital Logic Design

Finite State Machines CS 64: Computer Organization and Design Logic Lecture #15 Fall 2018

Appendix B. Review of Digital Logic. Baback Izadi Division of Engineering Programs

EECS Components and Design Techniques for Digital Systems. FSMs 9/11/2007

Digital Circuit Engineering

Synchronous Sequential Logic Part I

EECS150 - Digital Design Lecture 11 - Shifters & Counters. Register Summary

CE1911 LECTURE FSM DESIGN PRACTICE DAY 1

EE 209 Logic Cumulative Exam Name:

L10 State Machine Design Topics

Lecture 8: Sequential Networks and Finite State Machines

Logical design of digital systems

Synchronous Sequential Logic

Sequential Logic. Road Traveled So Far

State Machines ELCTEC-131

Computers also need devices capable of Storing data and information Performing mathematical operations on such data

State and Finite State Machines

Digital Circuit Engineering

CHAPTER 9: SEQUENTIAL CIRCUITS

CS221: Digital Design. Dr. A. Sahu. Indian Institute of Technology Guwahati

10/12/2016. An FSM with No Inputs Moves from State to State. ECE 120: Introduction to Computing. Eventually, the States Form a Loop

Design Example: 4-bit Sequence Detector

Clocked Synchronous State-machine Analysis

Review: Designing with FSM. EECS Components and Design Techniques for Digital Systems. Lec09 Counters Outline.

FSM Optimization. Counter Logic Diagram Q1 Q2 Q3. Counter Implementation using RS FF 10/13/2015

Synchronous Sequential Circuit

Sequential logic and design

Menu. EEL3701 Classical Design

CPE100: Digital Logic Design I

Symbolic State Minimization

Transcription:

Synchronous Sequential Circuit Design 1

Sequential circuit design In sequential circuit design, we turn some description into a working circuit We first make a state table or diagram to express the computation Then we can turn that table or diagram into a sequential circuit 2

Sequential circuit design procedure Step 1: Make a state table based on the problem statement. The table should show the present states, inputs, next states and outputs. (It may be easier to find a state diagram first, and then convert that to a table) Step 2: Assign binary codes to the states in the state table, if you haven t already. If you have n states, your binary codes will have at least log 2 n digits, and your circuit will have at least log 2 n flip-flops Step 3: For each flip-flop and each row of your state table, find the flip-flop input values that are needed to generate the next state from the present state. You can use flip-flop excitation tables here. Step 4: Find simplified equations for the flip-flop inputs and the outputs. Step 5: Build the circuit! 3

Sequence recognizer (Mealy) A sequence recognizer is a special kind of sequential circuit that looks for a special bit pattern in some input The recognizer circuit has only one input, X One bit of input is supplied on every clock cycle This is an easy way to permit arbitrarily long input sequences There is one output, Z, which is 1 when the desired pattern is found Our example will detect the bit pattern 11 : Inputs: 1 11 1 1 1 1 11 Outputs: 1 1 1 A sequential circuit is required because the circuit has to remember the inputs from previous clock cycles, in order to determine whether or not a match was found 4

Step 1: Making a state table The first thing you have to figure out is precisely how the use of state will help you solve the given problem Make a state table based on the problem statement. The table should show the present states, inputs, next states and outputs Sometimes it is easier to first find a state diagram and then convert that to a table This is usually the most difficult step. Once you have the state table, the rest of the design procedure is the same for all sequential circuits 5

A basic Mealy state diagram What state do we need for the sequence recognizer? We have to remember inputs from previous clock cycles For example, if the previous three inputs were 1 and the current input is 1, then the output should be 1 In general, we will have to remember occurrences of parts of the desired pattern in this case, 1, 1, and 1 We ll start with a basic state diagram: 1/ / / A B C D State A B C D Meaning None of the desired pattern (11) has been input yet. We ve already seen the fi rst bit (1) of the desired pattern. We ve already seen the fi rst two bits (1) of the desired pattern. We ve already seen the fi rst three bits (1) of the desired pattern. 6

Overlapping occurrences of the pattern What happens if we re in state D (the last three inputs were 1), and the current input is 1? The output should be a 1, because we ve found the desired pattern But this last 1 could also be the start of another occurrence of the pattern! For example, 111 contains two occurrences of 11 To detect overlapping occurrences of the pattern, the next state should be B. 1/ / / A B C D 1/1 State A B C D Meaning None of the desired pattern (11) has been input yet. We ve already seen the fi rst bit (1) of the desired pattern. We ve already seen the fi rst two bits (1) of the desired pattern. We ve already seen the fi rst three bits (1) of the desired pattern. 7

Filling in the other arrows Two outgoing arrows for each node, to account for the possibilities of X= and X=1 The remaining arrows we need are shown in blue. They also allow for the correct detection of overlapping occurrences of 11. / 1/ / / A B C D / 1/ 1/ 1/1 State A B C D Meaning None of the desired pattern (11) has been input yet. We ve already seen the fi rst bit (1) of the desired pattern. We ve already seen the fi rst two bits (1) of the desired pattern. We ve already seen the fi rst three bits (1) of the desired pattern. 8

Mealy state diagram & table 1/ / 1/ / / A B C D 1/ / 1/1 Present State I nput Next State Output A A A 1 B B C B 1 B C D C 1 B D A D 1 B 1 9

Step 2: Assigning binary codes to states We have four states ABCD, so we need at least two flip-flops Q 1 Q The easiest thing to do is represent state A with Q 1 Q =, B with 1, C with 1, and D with 11 The state assignment can have a big impact on circuit complexity, but we won t worry about that too much in this class Present State I nput Next State Output A A A 1 B B C B 1 B C D C 1 B D A D 1 B 1 Present State I nput Next State Output Q 1 Q X Q 1 Q Z 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1

Step 3: Finding flip-flop input values Next we have to figure out how to actually make the flip-flops change from their present state into the desired next state This depends on what kind of flip-flops you use! We ll use two JKs. For each flip-flip Q i, look at its present and next states, and determine what the inputs J i and K i should be in order to make that state change. Present State I nput Next State Flip fl op inputs Output Q 1 Q X Q 1 Q J 1 K 1 J K Z 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 11

Finding JK flip-flop input values For JK flip-flops, this is a little tricky. Recall the characteristic table: J K Q(t+1) Operation Q(t) No change 1 Reset 1 1 Set 1 1 Q (t) Complement If the present state of a JK flip-flop is and we want the next state to be 1, then we have two choices for the JK inputs: We can use JK= 1, to explicitly set the flip-flop s next state to 1 We can also use JK=11, to complement the current state So to change from to 1, we must set J=1, but K could be either or 1 Similarly, the other possible state transitions can all be done in two different ways as well 12

JK excitation table An excitation table shows what flip-flop inputs are required in order to make a desired state change Q(t) Q(t+1) J K Operation x No change/ reset 1 1 x Set/ complement 1 x 1 Reset/ complement 1 1 x No change/ set This is the same information that s given in the characteristic table, but J K Q(t+1) Operation presented backwards Q(t) No change 1 Reset 1 1 Set 1 1 Q (t) Complement 13

Back to the example Use the JK excitation table on the right to find the correct values for each flip-flop s inputs, based on its present and next states Q(t) Q(t+1) J K x 1 1 x 1 x 1 1 1 x Present State I nput Next State Flip fl op inputs Output Q 1 Q X Q 1 Q J 1 K 1 J K Z x x 1 1 x 1 x 1 1 1 x x 1 1 1 1 x x 1 1 1 x 1 x 1 1 1 x 1 1 x 1 1 x 1 x 1 1 1 1 1 x 1 x 1 14

Step 4: Find equations for the FF inputs and output Now you can make K-maps and find equations for each of the four flip-flop inputs, as well as for the output Z These equations are in terms of the present state and the inputs The advantage of using JK flip-flops is that there are many don t care conditions, which can result in simpler MSP equations Present State I nput Next State Flip fl op inputs Output Q 1 Q X Q 1 Q J 1 K 1 J K Z x x 1 1 x 1 x 1 1 1 x x 1 1 1 1 x x 1 1 1 x 1 x 1 1 1 x 1 1 x 1 1 x 1 x 1 1 1 1 1 x 1 x 1 15

FF input equations Present State I nput Next State Flip fl op inputs Output Q 1 Q X Q 1 Q J 1 K 1 J K Z x x 1 1 x 1 x 1 1 1 x x 1 1 1 1 x x 1 1 1 x 1 x 1 1 1 x 1 1 x 1 1 x 1 x 1 1 1 1 1 x 1 x 1 X J 1 Q 1 Q 1 11 1 1 x x 1 x x X K 1 Q 1 Q 1 11 1 x x 1 1 x x 1 1 J 1 = X Q K 1 = X + Q 16

FF input equations Present State I nput Next State Flip fl op inputs Output Q 1 Q X Q 1 Q J 1 K 1 J K Z x x 1 1 x 1 x 1 1 1 x x 1 1 1 1 x x 1 1 1 x 1 x 1 1 1 x 1 1 x 1 1 x 1 x 1 1 1 1 1 x 1 x 1 J Q 1 Q K Q 1 Q 1 11 1 1 11 1 X x x 1 1 1 x x 1 J = X + Q 1 X x 1 1 x 1 x x K = X 17

Output equation Present State I nput Next State Flip fl op inputs Output Q 1 Q X Q 1 Q J 1 K 1 J K Z x x 1 1 x 1 x 1 1 1 x x 1 1 1 1 x x 1 1 1 x 1 x 1 1 1 x 1 1 x 1 1 x 1 x 1 1 1 1 1 x 1 x 1 Z Q 1 Q X 1 1 1 11 1 Z = X Q 1 Q 18

Step 5: Build the circuit Lastly, we use these simplified equations to build the completed circuit J 1 = X Q K 1 = X + Q J = X + Q 1 K = X Z = Q 1 Q X 19

Timing diagram Here is one example timing diagram for our sequence detector The flip-flops Q 1 Q start in the initial state, On the first three positive clock edges, X is 1,, and. These inputs cause Q 1 Q to change, so after the third edge Q 1 Q = 11 Then when X=1, Z becomes 1 also, meaning that 11 was found The output Z does not have to change at positive clock edges. Instead, it may change whenever X changes, since Z = Q 1 Q X CLK 1 2 3 4 Q 1 Q X Z 2

Building the same circuit with D flip-flops What if you want to build the circuit using D flip-flops instead? We already have the state table and state assignments, so we can just start from Step 3, finding the flip-flop input values D flip-flops have only one input, so our table only needs two columns for D 1 and D Present State I nput Next State Flip-fl op inputs Output Q 1 Q X Q 1 Q D 1 D Z 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 21

D flip-flop input values (Step 3) The D excitation table is pretty boring; set the D input to whatever the next state should be You don t even need to show separate columns for D 1 and D ; you can just use the Next State columns Q(t) Q(t+1) D Operation Reset 1 1 Set 1 Reset 1 1 1 Set Present State I nput Next State Flip fl op inputs Output Q 1 Q X Q 1 Q D 1 D Z 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 22

Finding equations (Step 4) Present State I nput Next State Flip fl op inputs Output Q 1 Q X Q 1 Q D 1 D Z 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 D 1 Q 1 Q D Q 1 Q Z Q 1 Q 1 11 1 1 11 1 1 11 1 X 1 1 1 D 1 = Q 1 Q X + Q 1 Q X X 1 1 1 1 1 1 D = X + Q 1 Q X 1 1 Z = X Q 1 Q 23

Building the circuit (Step 5) 24

Sequence recognizer (Moore) A sequence recognizer is a special kind of sequential circuit that looks for a special bit pattern in some input The recognizer circuit has only one input, X One bit of input is supplied on every clock cycle This is an easy way to permit arbitrarily long input sequences There is one output, Z, which is 1 when the desired pattern is found Our example will detect the bit pattern 11 : Inputs: 1 11 1 1 1 1 11 Outputs: 1 1 1 A sequential circuit is required because the circuit has to remember the inputs from previous clock cycles, in order to determine whether or not a match was found 25

Moore state diagram & table 1 1 A/ B/ C/ D/ 1 1 1 E/1 Present State Input Next State Output A A A 1 B B C B 1 B C D C 1 B D A D 1 E E C 1 E 1 B 1 A: D: 1 B: 1 E: 11 C: 1 Z Q 2 Q 1 1 11 1 Q 1 1 Z= Q 2 Q 1 Q 26

Vending Machine Design a vending machine which meets the following specs: Deliver a package of gum after 15 cents deposited Single coin slot for dimes, nickels N =1 => A nickel is deposited D =1 => A dime is deposited No change Coin Sensor N D Vending Machine SSC Z Gum Release Mechanism Clk Block Diagram of the System 27

Vending Machine / Z= 1 1/1 / 1/1 1/1 1/ 5 1/ 1/ 1 5 Z= 1 Z= 1 1 1 1 1 1 / 15 Z=1 1 1 1 Mealy Model Moore Model 2 Z=1 28

Traffic Light Controller A busy highway is intersected by a little used farmroad. Detectors C sense the presence of cars waiting on the farmroad. With no car on farmroad, light remain green in highway direction. If vehicle on farmroad, highway lights go from Green to Yellow to Red, allowing the farmroad lights to become green. These stay green only as long as a farmroad car is detected but never longer than a set interval. When these are met, farm lights transition from Green to Yellow to Red, allowing highway to return to green. Even if farmroad vehicles are waiting, highway gets at least a set interval as green. Assume you have an interval timer that generates a short time pulse (TS) and a long time pulse (TL) in response to a set (ST) signal. TS is to be used for timing yellow lights and TL for green lights. Note: The interval timer is just another sequential circuit! 29

Traffic Light Controller Farmroad FL C HL Highway Highway HL C Farmroad FL 3

Traffic Light Controller Input Signals C TS TL detect vehicle on farmroad short time interval expired long time interval expired Output Signals HG, HY, HR FG, FY, FR ST assert green/yellow/red highway lights assert green/yellow/red farmroad lights start timing a short or long interval State S S1 S2 S3 Highway green (farmroad red) Highway yellow (farmroad red) Farmroad green (highway red) Farmroad yellow (highway red) 31

Traffic Light Controller C,TL,TS/ST 1,1,x/1 x,, x,1, x S HG=1 FR=1 x,x, S1 HY=1 FR=1 x,x,1/1 S2 HR=1 FG=1 1,, x x,x,1/1 x,1,x/1,,x/1 S3 HR=1 FY=1 x,x, Note: This sequential circuit has both Mealy and Moore outputs! 32

Digital Combination Lock "3 bit serial lock controls entry to locked room. Inputs are RESET, ENTER, 2 position switch for bit of key data. Locks generates an UNLOCK signal when key matches internal combination. ERROR light illuminated if key does not match combination. Sequence is: (1) Press RESET, (2) enter key bit, (3) Press ENTER, (4) Problem repeat specification (2) &(3) two is more incomplete: times." how do you set the internal combination? exactly when is the ERROR light asserted? Make reasonable assumptions: hardwired into next state logic vs. stored in internal register assert as soon as error is detected vs. wait until full combination has been entered Our design: registered combination plus error after full combination 33

Digital Combination Lock RESET Operator Data Internal Combination ENTER KEY -IN L L 1 L 2 Combination Lock FSM UNLOCK ERROR Inputs: Reset Enter Key-In L, L1, L2 Outputs: Unlock Error 34

Digital Combination Lock Note that each key entry is really a two-step process 1. Wait for the enter key 2. Check if correct key was selected Si Enter= Enter= 1 Sj KI = Li Check next key KI Li To error sequence 35

Digital Combination Lock Reset + Enter Reset Start Reset Enter KI = L Comp KI L Enter Idle Idle' Enter Enter Enter Comp1 KI = L1 Enter Idle1 Enter Comp2 KI L1 Error1 Enter Idle1' Enter Error2 KI = L2 KI L2 Reset Done [Unlock] Error3 [Error] Reset Reset Reset 36

Summary The basic sequential circuit design procedure: Make a state table and, if desired, a state diagram. This step is usually the hardest Assign binary codes to the states if you didn t already Use the present states, next states, and flip-flop excitation tables to find the flip-flop input values Write simplified equations for the flip-flop inputs and outputs and build the circuit 37