Repor4ng Quality of Results

Similar documents
Implementation of Clock Network Based on Clock Mesh

Using A54SX72A and RT54SX72S Quadrant Clocks

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II

EE194-EE290C. 28 nm SoC for IoT

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements.

Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM

Lecture 25. Dealing with Interconnect and Timing. Digital Integrated Circuits Interconnect

CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING DIGITAL INTEGRATED CIRCUITS FALL 2002

The Linear-Feedback Shift Register

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences

EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture. Rajeevan Amirtharajah University of California, Davis

Name: Answers. Mean: 38, Standard Deviation: 15. ESE370 Fall 2012

CMOS device technology has scaled rapidly for nearly. Modeling and Analysis of Nonuniform Substrate Temperature Effects on Global ULSI Interconnects

Lecture 21: Packaging, Power, & Clock

Steiner Trees in Chip Design. Jens Vygen. Hangzhou, March 2009

EE115C Winter 2017 Digital Electronic Circuits. Lecture 19: Timing Analysis

Digital VLSI Design. Lecture 8: Clock Tree Synthesis

Managing Physical Design Issues in ASIC Toolflows Complex Digital Systems Christopher Batten February 21, 2006

Name: Answers. Mean: 83, Standard Deviation: 12 Q1 Q2 Q3 Q4 Q5 Q6 Total. ESE370 Fall 2015

Making Fast Buffer Insertion Even Faster via Approximation Techniques

Very Large Scale Integration (VLSI)

Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern integrated circuits

Xarxes de distribució del senyal de. interferència electromagnètica, consum, soroll de conmutació.

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

NTE74HC299 Integrated Circuit TTL High Speed CMOS, 8 Bit Universal Shift Register with 3 State Output

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK

! Crosstalk. ! Repeaters in Wiring. ! Transmission Lines. " Where transmission lines arise? " Lossless Transmission Line.

Clock Strategy. VLSI System Design NCKUEE-KJLEE

TAU 2015 Contest Incremental Timing Analysis and Incremental Common Path Pessimism Removal (CPPR) Contest Education. v1.9 January 19 th, 2015

Name: Grade: Q1 Q2 Q3 Q4 Q5 Total. ESE370 Fall 2015

Problems in VLSI design

Using Global Clock Networks

Skew Management of NBTI Impacted Gated Clock Trees

Chapter 5 CMOS Logic Gate Design

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues

Announcements. EE141- Fall 2002 Lecture 25. Interconnect Effects I/O, Power Distribution

C.K. Ken Yang UCLA Courtesy of MAH EE 215B

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Area-Time Optimal Adder with Relative Placement Generator

Topics to be Covered. capacitance inductance transmission lines

Synthesis: Timing Constraints. 1

Preliminary Datasheet

DELAYS IN ASIC DESIGN

Itanium TM Processor Clock Design

EE 560 CHIP INPUT AND OUTPUT (I/0) CIRCUITS. Kenneth R. Laker, University of Pennsylvania

PI4GTL bit bidirectional low voltage translator

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp

How to create designs with Dynamic/Adaptive Voltage Scaling. Roy H. Liu National Semiconductor Corporation

Variation-aware Clock Network Design Methodology for Ultra-Low Voltage (ULV) Circuits

EECS 151/251A Homework 5

AE74 VLSI DESIGN JUN 2015

Answers. Name: Grade: Q1 Q2 Q3 Q4 Total mean: 83, stdev: 14. ESE370 Fall 2017

Design for Testability

Design for Testability

Website: ECE 260B CSE 241A Parasitic Estimation 1

TAU 2014 Contest Pessimism Removal of Timing Analysis v1.6 December 11 th,

On Optimal Physical Synthesis of Sleep Transistors

The Revised ARPANET Rou0ng Metrics

Where are we? Data Path Design

On Potential Design Impacts of Electromigration Awareness

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction

EECS 151/251A Spring 2018 Digital Design and Integrated Circuits. Instructors: Nick Weaver & John Wawrzynek. Lecture 10 EE141

Issues on Timing and Clocking

T st Cost Reduction LG Electronics Lee Y, ong LG Electronics 2009

Early SSN Estimator User Guide for Altera Programmable Devices

Lecture 5. MOS Inverter: Switching Characteristics and Interconnection Effects

Where are we? Data Path Design. Bit Slice Design. Bit Slice Design. Bit Slice Plan

Energy Delay Optimization

Minimizing Clock Latency Range in Robust Clock Tree Synthesis

Digital Integrated Circuits. The Wire * Fuyuzhuo. *Thanks for Dr.Guoyong.SHI for his slides contributed for the talk. Digital IC.

Design for Variability and Signoff Tips

Skew Management of NBTI Impacted Gated Clock Trees

Digital Integrated Circuits A Design Perspective

MOSIS REPORT. Spring MOSIS Report 1. MOSIS Report 2. MOSIS Report 3

Exam 2 Fall How does the total propagation delay (T HL +T LH ) for an inverter sized for equal

Logic Synthesis and Verification

Three-Tier 3D ICs for More Power Reduction: Strategies in CAD, Design, and Bonding Selection

Delay and Power Estimation

CMPEN 411 VLSI Digital Circuits Spring Lecture 14: Designing for Low Power

PDN Planning and Capacitor Selection, Part 1

On the Tradeoff between Power and Flexibility of FPGA Clock Networks

Lecture 6: Time-Dependent Behaviour of Digital Circuits

and V DS V GS V T (the saturation region) I DS = k 2 (V GS V T )2 (1+ V DS )

Evaluating Power. Introduction. Power Evaluation. for Altera Devices. Estimating Power Consumption

DDR4 Board Design and Signal Integrity Verification Challenges

L15: Custom and ASIC VLSI Integration

Utilizing Redundancy for Timing Critical Interconnect

EE141Microelettronica. CMOS Logic

Constraining and Analyzing Source-Synchronous Interfaces

Lecture 5. Logical Effort Using LE on a Decoder

Logical Effort: Designing for Speed on the Back of an Envelope David Harris Harvey Mudd College Claremont, CA

VLSI Signal Processing

S No. Questions Bloom s Taxonomy Level UNIT-I

Jin-Fu Li Advanced Reliable Systems (ARES) Lab. Department of Electrical Engineering. Jungli, Taiwan

EE141-Fall 2011 Digital Integrated Circuits

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Oldham Fall 1999

Lecture 6 Power Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010

Electromagnetic Forces on Parallel Current-

EE115C Digital Electronic Circuits Homework #5

Transcription:

Analyzing Timing A,er you set the 4ming constraints such as clocks, input delays, and output delays, it is a good idea to use the check_4ming command to check for 4ming setup problems and 4ming condi4ons such as incorrectly specified generated clocks and combina4onal feedback loops. The command checks the 4ming a?ributes of the current design and issues warning messages about any unusual condi4ons found. The default report shows the startpoint, endpoint, path group (clock domain), path type (minimum delay, maximum delay, max_rise, min_fall, and so on), the incremental and cumula4ve 4me delay values along the data and clock paths, the data required 4me at the path endpoint, and the 4ming slack for the path.

Analyzing Timing

Analyzing Timing Using the GUI, you can generate color-coded diagrams showing the loca4ons of worstcase 4ming condi4ons such as path slack, cell slack, net capacitance, clock latency/ transi4on, and crosstalk.

Analyzing Power The report_power command calculates and reports power for a design. The command uses the user-annotated switching ac4vity to calculate the net switching power, cell internal power, and cell leakage power, and it displays the calculated values in a power report.

Repor4ng Quality of Results You can generate a report on the quality of results (QoR) for the design in its current state by using the create_qor_snapshot command (or by choosing Timing > Create QoR Snapshot in the GUI). This command measures and reports the quality of the design in terms of 4ming, design rules, area, power, conges4on, clock tree synthesis, rou4ng, and so on.

Repor4ng Quality of Results

Cell Density Map To generate a cell density map, the tool divides the layout into a grid of squares measuring five standard-cell heights on each side. It finds the area u4liza4on in each grid square and then color-codes each square according to the u4liza4on value. It also displays a histogram showing the number of grid squares in each of the u4liza4on value bins.

Cell Density Map

Cell Density Map

Conges4on Maps A conges4on map can help you visualize the quality of placement with respect to the avoidance of rou4ng conges4on.

Hierarchy Visual Mode The hierarchy visual mode is a display of the design with cells highlighted and colorcoded according to block membership or levels of hierarchy

Clock-Tree Visual Mode The clock tree visual mode highlights the flylines and cells of a clock tree using a different color for each buffer level of the tree. For example, Figure shows the connec4ons in levels 0, 1, and 2 of the sys_clk clock tree, with the level 0 in yellow, level 1 in magenta, and level 2 in blue. This display can help you visualize the extent and approximate route lengths of the clock tree.

Net Connec4ons The net connec4ons in area visual mode lets you visualize the nets enclosed in or crossing a specified rectangular area of the design and examine the proper4es of those nets.

Net Connec4ons The net connec4ons in area display capability lets you determine the net topology in a par4cular region and observe the proper4es of the nets in that area. This feature works with both routed and unrouted designs. You can analyze the nets that cross a specific area and use the informa4on to change the floorplan or add constraints such as blockages. For example, excessive local nets might imply that u4liza4on changes are needed, or excessive global or pass-through nets might imply that floorplan or placement constraint changes are needed.

Clock Tree Synthesis Design Prerequisites The design is placed and op4mized. Use the check_legality -verbose command to verify that the placement is legal. Running clock tree synthesis on a design that does not have a legal placement might result in long run4mes and reduced QoR. The es4mated QoR for the design should meet your requirements before you start clock tree synthesis. This includes acceptable results for Conges4on If conges4on issues are not resolved before clock tree synthesis, the addi4on of clock trees can increase conges4on. If the design is congested, you can rerun place_opt with the -conges4on and -effort high op4ons, but the run4me can be long. Timing Maximum capacitance Maximum transi4on 4me To ensure that the clock tree can be routed, verify that the placement is such that the clock sinks are not in narrow channels and that there are no large blockages between the clock root and its sinks. If these condi4ons occur, fix the placement before running clock tree synthesis. The power and ground nets are prerouted. High-fanout nets, such as scan enables, are synthesized with buffers.

Library Prerequisites Clock Tree Synthesis Any cell in the logic library that you want to use as a clock tree reference (a buffer or inverter cell that can be used to build a clock tree) or for sizing of gates on the clock network must be usable by clock tree synthesis and op4miza4on. By default, clock tree synthesis and op4miza4on cannot use buffers and inverters that have the dont_use a?ribute to build the clock tree. The physical library should include All clock tree references (the buffer and inverter cells that can be used to build the clock trees) Rou4ng informa4on, which includes layer informa4on and non-default rou4ng rules TLUPlus models must exist. Extrac4on requires these models to es4mate the net resistance and capacitance.

Analyzing The Clock Tree Before running clock tree synthesis, analyze each clock tree in your design to determine its characteris4cs and its rela4onship to other clock trees in the design. For each clock tree, determine What the clock root is What the desired clock sinks and clock tree excep4ons are Whether the clock tree contains preexis4ng cells, such as clock-ga4ng cells Whether the clock tree converges, either with itself (a convergent clock path) or with another clock tree (an overlapping clock path) Whether the clock tree has 4ming rela4onships with other clock trees in the design, such as interclock skew requirements What the logical design rule constraints (maximum fanout, maximum transi4on 4me, and maximum capacitance) are What the rou4ng constraints (rou4ng rules and metal layers) are Use this informa4on when you define the clock trees and to validate that the tool has the correct clock tree defini4ons.

Defining The Clock Tree The tool uses the clock sources defined by the create_clock command as the clock roots and derives the default set of clock sinks by tracing through all cells in the transi4ve fanout of the clock roots. You can designate either an input port or internal hierarchical pin as a clock source.

Defining The Clock Root A?ribute If the clock root is an input port (without an I/O pad cell), you must accurately specify the driving cell of the input port. A weak driving cell does not affect logic synthesis, because logic synthesis uses ideal clocks. However, during clock tree synthesis, a weak driving cell can cause the tool to insert extra buffers as the tool tries to meet the clock tree design rule constraints, such as maximum transi4on 4me and maximum capacitance. If you do not specify a driving cell (or drive strength), the tool assumes that the port has infinite drive strength. If the clock root is an input port with an I/O pad cell, you must accurately specify the input transi4on 4me of the input port.

Implemen4ng Clock Meshes Clock meshes are homogeneous shorted grids of metal that are driven by many clock drivers. The purpose of a clock mesh is to reduce clock skew in both nominal designs and designs across varia4ons such as on-chip varia4on, chip-to-chip varia4on, and local power fluctua4ons. A clock mesh reduces skew varia4on mainly by shor4ng the outputs of many clock drivers. Figure shows the structure of a clock mesh. The network of drivers from the clock port to the mesh driver inputs is called the premesh tree. The network of shorted clock driver outputs is called the mesh.

Implemen4ng Clock Meshes Using clock meshes provides the following benefits: Small skew varia4on, especially for high-performance designs Consistent design performance across varia4ons Predicable results throughout both the design stage and ECO stage later Stability resul4ng from mesh grids being close to receivers Using clock meshes has the following disadvantages: More rou4ng resources are required to create clock meshes Higher power consump4on during transi4ons on the parallel drivers driving the mesh

H-Trees An H-tree is a fractal structure built by drawing an H shape, then recursively drawing H shapes on each of the ver4ces, as shown in Figure. With enough recursions, the H-tree can distribute a clock from the center to within an arbitrarily short distance of every point on the chip while maintaining exactly equal wire lengths. Buffers are added as necessary to serve as repeaters. If the clock loads were uniformly distributed around the chip, the H-tree would have zero systema4c skew. Moreover, the trees tend to use less wire and thus have lower capacitance than grids.

Spines The spines drive length-matched serpen4ne wires to each small group of clocked elements. If the loads are uniform, the spine avoids the systema4c skew of the grid by matching the length of the clock wires.

Pen4um 4 Clock Spines Figure(L) shows the global clock buffers distribu4ng the clock to the three spines on the Pen4um 4 with zero systema4c skew while Figure(R) shows a photograph of the chip annotated with the clock spine loca4ons.