Interconnect s Role in Deep Submicron. Second class to first class

Similar documents
Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics

Announcements. EE141- Fall 2002 Lecture 25. Interconnect Effects I/O, Power Distribution

Lecture 25. Dealing with Interconnect and Timing. Digital Integrated Circuits Interconnect

Interconnects. Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering Repeaters. ECE 261 James Morizio 1

The Wire. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

Interconnects. Introduction

Lecture 21: Packaging, Power, & Clock

Interconnect (2) Buffering Techniques.Transmission Lines. Lecture Fall 2003

Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM

Digital Integrated Circuits. The Wire * Fuyuzhuo. *Thanks for Dr.Guoyong.SHI for his slides contributed for the talk. Digital IC.

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues

10/16/2008 GMU, ECE 680 Physical VLSI Design

CMPEN 411 VLSI Digital Circuits Spring 2012

Lecture 7 Circuit Delay, Area and Power

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Digital Integrated Circuits (83-313) Lecture 5: Interconnect. Semester B, Lecturer: Adam Teman TAs: Itamar Levi, Robert Giterman 1

! Crosstalk. ! Repeaters in Wiring. ! Transmission Lines. " Where transmission lines arise? " Lossless Transmission Line.

Noise and Delay Uncertainty Studies for Coupled RC Interconnects

5.0 CMOS Inverter. W.Kucewicz VLSICirciuit Design 1

Electrical Characterization of 3D Through-Silicon-Vias

Lecture 9: Interconnect

CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING DIGITAL INTEGRATED CIRCUITS FALL 2002

ECE260B CSE241A Winter Interconnects. Website:

The Wire EE141. Microelettronica

5/1/2011 V R I. = ds. by definition is the ratio of potential difference of the wire ends to the total current flowing through it.

CMOS Transistors, Gates, and Wires

IN the past, circuit delay has been due mostly to transistors.

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II

E40M Review - Part 1

Grasping The Deep Sub-Micron Challenge in POWERFUL Integrated Circuits

VLSI GATE LEVEL DESIGN UNIT - III P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

Introduction. HFSS 3D EM Analysis S-parameter. Q3D R/L/C/G Extraction Model. magnitude [db] Frequency [GHz] S11 S21 -30

THE INVERTER. Inverter

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements.

Power Grid Analysis Based on a Macro Circuit Model

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Announcements

ECE 497 JS Lecture - 18 Impact of Scaling

Lecture 6 Power Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010

Compact Distributed RLC Interconnect Models Part I: Single Line Transient, Time Delay, and Overshoot Expressions

9/18/2008 GMU, ECE 680 Physical VLSI Design

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

Accurate Estimating Simultaneous Switching Noises by Using Application Specific Device Modeling

Digital Integrated Circuits A Design Perspective

EE141-Spring 2008 Digital Integrated Circuits EE141. Announcements EECS141 EE141. Lecture 24: Wires

EE115C Winter 2017 Digital Electronic Circuits. Lecture 6: Power Consumption

Driver 1. Load 1 AGGRESSOR LINE. V s1. C c Load 2. Driver 2 VICTIM LINE. V s2. Circuit Figure

ECE520 VLSI Design. Lecture 8: Interconnect Manufacturing and Modeling. Payman Zarkesh-Ha

Chapter 9. Estimating circuit speed. 9.1 Counting gate delays

Lecture 15: Scaling & Economics

ENEE 359a Digital VLSI Design

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm

Topics to be Covered. capacitance inductance transmission lines

Problems in VLSI design

Integrated Circuits & Systems

TEMPERATURE DEPENDENT ANALYSIS OF MIXED CARBON NANOTUBE BUNDLE AS VLSI INTERCONNECTS MASTER OF TECHNOLOGY IN VLSI DESIGN

MOS Transistor Theory

Very Large Scale Integration (VLSI)

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals

Advantages of Using CMOS

COMPARATIVE ANALYSIS OF CARBON NANOTUBES AS VLSI INTERCONNECTS

Lecture 16: Circuit Pitfalls

A Novel LUT Using Quaternary Logic

EE141Microelettronica. CMOS Logic

SRAM System Design Guidelines

Efficient Crosstalk Estimation

Chapter 2. Design and Fabrication of VLSI Devices

CMOS logic gates. João Canas Ferreira. March University of Porto Faculty of Engineering

Analysis of TSV-to-TSV Coupling with High-Impedance Termination in 3D ICs

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo

EECS 151/251A Spring 2018 Digital Design and Integrated Circuits. Instructors: Nick Weaver & John Wawrzynek. Lecture 12 EE141

Website: vlsicad.ucsd.edu/ courses/ ece260bw05. ECE 260B CSE 241A Parasitic Extraction 1

EE141-Spring 2007 Digital Integrated Circuits. Administrative Stuff. Last Lecture. Wires. Interconnect Impact on Chip. The Wire

Statistical Interconnect Crosstalk Noise Model and Analysis for Process Variations

Digital Integrated Circuits A Design Perspective

COMBINATIONAL LOGIC. Combinational Logic

Integrated Circuits & Systems

CPE/EE 427, CPE 527 VLSI Design I L13: Wires, Design for Speed. Course Administration

EE 560 CHIP INPUT AND OUTPUT (I/0) CIRCUITS. Kenneth R. Laker, University of Pennsylvania

Preamplifier in 0.5µm CMOS

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

10. Performance. Summary

EECS 427 Lecture 11: Power and Energy Reading: EECS 427 F09 Lecture Reminders

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp

Dynamic operation 20

Lecture 040 Integrated Circuit Technology - II (5/11/03) Page ECE Frequency Synthesizers P.E. Allen

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

Xarxes de distribució del senyal de. interferència electromagnètica, consum, soroll de conmutació.

The CMOS Inverter: A First Glance


Capacitance - 1. The parallel plate capacitor. Capacitance: is a measure of the charge stored on each plate for a given voltage such that Q=CV

Lecture 5: CMOS Transistor Theory

PARADE: PARAmetric Delay Evaluation Under Process Variation *

Efficient Reluctance Extraction for Large-Scale Power Grid with High- Frequency Consideration

PDN Planning and Capacitor Selection, Part 1

Electronic Circuits Summary

CMOS device technology has scaled rapidly for nearly. Modeling and Analysis of Nonuniform Substrate Temperature Effects on Global ULSI Interconnects

EE115C Winter 2017 Digital Electronic Circuits. Lecture 19: Timing Analysis

EE241 - Spring 2003 Advanced Digital Integrated Circuits

Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters

Transcription:

Interconnect s Role in Deep Submicron Dennis Sylvester EE 219 November 3, 1998 Second class to first class Interconnect effects are no longer secondary # of wires # of devices More metal levels RC delay Rising frequencies -- inductive effects Coupling capacitance -- noise How are people dealing with these problems? 1

1997 Roadmap predictions Technology (µm) # of metal levels Total wirelength (km) Contacted pitch (µm) Chip-side length (cm) Cycle time, global (ps) 0.25 0.18 0.13 0.1 0.07 0.05 6 6-7 7 7-8 8-9 9 0.82 1.48 2.84 5.14 10 24 0.64 0.46 0.34 0.26 0.19 0.14 1.7 1.8 2.1 2.3 2.5 2.7 1333 833 625 500 400 333 Capacitance Capacitance, C, is the measure of ability to store energy in the form of separated charge To first order: - C Area of overlap - C 1 / distance C V 1 V 2 distance 2

Why is capacitance important? Capacitance is the most traditional concern of the circuit designer and CAD tool Transistor delay is load capacitance Load capacitance consists of junction capacitance, wiring capacitance, fan-out capacitance As devices get smaller, wiring capacitance can be a large component of load Capacitance Trends Distance between wires is shrinking Area of overlap is also dropping Total capacitance ~ constant Capacitance between wires dominates capacitance between layers Line spacing < Dielectric thickness Metal thickness > Metal width Past M1 Sub Present / Future M1 Sub 3

How to model capacitance? Empirical closed-form models - Fast but flexibility is limited to certain cases 2-D or 2.5-D simulation results are fed into look-up tables - they interpolate for any topology/dimensions Upper Ground Plane Measurement (CBCM) W C upper S H2 - not feasible for all cases T H1 Cline C lower Lower Ground Plane Continual Array e = k e0 - should be used to calibrate simulators to specific process (Freq. Tech) Resistance Wire resistance is the measure of a material s desire to impede the flow of charge Resistance can be modeled accurately as: R = rl WT ρ is the resistivity of the material (in µω-cm) T L W 4

Why is resistance important? It s not ALWAYS important yet BUT: R is rising with scaling interconnect dimensions Reduces the applicability of simple, capacitance-only models If R is large, the wire has an intrinsic delay associated with it RC delay is the time the wire takes to charge its own capacitance with its own resistance Resistance Trends To stop rise of R, use wider/thicker wires Wider wires use more routing space, making the design larger Thicker wires lead to larger capacitances between wires -- this leads to noise Use new materials with lower ρ (discussed later) Global RC delay will get much worse while local RC will only increase slightly 5

Resistance Shielding A large line resistance can shield a driver from a fan-out capacitance The driver will respond as if a smaller capacitance were present, making the initial response faster with a pronounced RC tail T r R 1 R 2 R N-1 R N C 1 C 2 C N-1 C N V in Skin Effect At high frequencies, current in a conductor is forced to the wires outer edges Equivalent to a reduction in the currentcarrying area of the wire = increased R Resistance increases with frequency: (sqrt(f)) Skin depth of Cu at 1 GHz = 2.1 µm Wires bigger than this see an increase in resistance 6

How to model resistance? Easy to calculate given wire dimensions Timing simulations are now more difficult R wire / R driver ratio is a good indicator of the importance of wiring resistance We need an efficient model to account for RC delay effects: Elmore Delay Elmore Delay Given an RC chain, we can find the delay from source s 0 to node N from the Elmore delay model t N N N i 0 = Ri Cj = Ci R j i= 1 j= i i= 1 j= 1 ( s, N) For a lumped chain, t 63% R total C total For a distributed n-ladder chain, t ( n+1 / 2n) R total C total As n, t distributed 0.5 R total C total R N-1 R 1 R 2 S 0 N R N C 1 C 2 C N-1 C N 7

Inductance Inductance, L, is the measure of ability to store energy in the form of a magnetic field Inductance of a wire consists of a selfinductance and a mutual inductance term Angular frequency = 2πf Z = R + jωl At high frequencies, inductance can become an appreciable portion of the total impedance Why is inductance important? Inductance may lead to: Voltage overshoot Ringing / non-monotonic voltage response Faster rise/fall times (enhancing noise) Higher performance leads to higher inductive effects Bandwith ~ 0.35 / rise time If L * Bandwidth becomes comparable to R, inductive effects need to be considered 8

Inductive effects in action - Yellow lines are distributed RLC simulation results of a 5 mm line with 30 ps input rise time to large CMOS inverter - Overshoot and non-monotonic response is seen Inductance Trends Inductance is a weak function of conductor dimensions (logarithmic) Inductance is a strong function of current return path distance Want to have a nearby ground line to provide a small current loop Inductance is most significant in long, fastswitching nets with low resistance Clocks are the most susceptible 9

Dealing with Inductance DEC approach in Alpha 21264 -- use entire planes of metal as references (V dd and GND) to eliminate inductance - Loss of routing density, added metal layers reduce yield & raise costs Another industry approach uses shield wires every ~ 3 signal lines in a dense array V dd Bus lines GND How to model inductance? Efficient RLC modeling is possible now L R - Asymptotic Waveform Evaluation (AWE) Inductance extraction is not available now C - Hot research topic; should not be solved in the next few years - Difficult due to uncertainty in current return path Figures of merit can be used; Inductance important when: T rise < Length < 2 LC 2 R L C - Line must be long for the time-offlight to be comparable to rise time - Line must be short enough such that attenuation does not eliminate inductive effects 10

Noise / Signal Integrity Noise can be defined as anything that causes a node to deviate from V dd or GND when it should otherwise have a stable HI / LO value [Shepard 96] Noise sources cause signal integrity problems Coupling capacitance - Causes crosstalk and delay degradation Package-level inductance - L * di/dt voltage drop Power grid IR drop - Reduced noise margins, slower gates T H W C a C v Ground Plane S Crosstalk C c C c Neighboring wires switch, coupling to a quiet line C a Quiet line sees a undesired voltage spike Crosstalk can lead to: - Logic faults (especially in dynamic circuits) - Voltage overshoot (stress, forward-bias PN junctions) Voltage spike, V x C c / C total V x is a complex function of - Driver strength - Fan-out capacitance - Wiring resistance 11

Delay Degradation C c Delay degradation - Impact of neighboring signal activity on switching delay - When neighboring lines switch in opposite direction of victim line, delay increases Miller Effect - Both terminals of capacitor are switched in opposite directions (0 V dd, V dd 0) - Effective voltage is doubled and additional charge is needed (from Q=CV) Modeling Delay Degradation Q = C * 2V = 2C * V Double the coupling capacitance term to account for Miller effect Effective for low-loss lines Line resistance adds to non-linearity of the system Simple, can be used for local routing accurately Voltage (V) Charge superposition V dd / 2 V x T noise T initial Time V x - New delay calculated as T d (V dd / 2 + V x ) 12

New Materials: Copper 0.25/0.18 µm processes are replacing Aluminum wiring with Copper Move yields ~ 40% lower resistance AND ~100X longer electromigration lifetime Copper is the last metal -- new wiring schemes will need to be radically different (e.g. superconducting, optical) Copper in all 0.18 µm processes New Materials: Low-k Dielectrics Lower wiring capacitance leads directly to lower delay and power consumption Helps reduce noise in short to intermediate length wires Industry outlook: - 0.18 µm processes will incorporate dielectrics ranging from k = 2.7 to 4.0 Ultimately, aerogels may be used with k ~ 1 13

Impact of New Materials IBM back-end copper process at left Yields 12% improvement over an aluminum process in a PowerPC design Transistor SEM How will interconnect really affect chip performance? = 0.377R C + 0.693( R C + R C R C ) T + d w Local level w R w C w and R w C out are negligible Interconnect delay will follow scaling of C w vs. C out C w is dropping due to shorter wirelengths and low-k materials out If gates are sized properly, interconnect delay will not dominate in local blocks of logic (50-100K gates) d d w w out 14

How will interconnect really affect chip performance? = 0.377R C + 0.693( R C + R C R C ) T + d w Global level w out All terms may be significant due to long wires and large drivers Chip size increasing, improved floorplanning needed Use of repeaters may become prohibitive (too many area and power constraints) Unscaled fat wires may be the answer to limit wiring RC delay [Sai-Halasz 95] d d w w out What are the BIG issues? Inductance How to extract?? Determining current return path is very tough Use shield lines or ground planes to limit L -- trade-off density Global wires Fat wires -- limit RC delays but lose routability Local clock vs. global clock (NTRS predicts) Package-level global distribution Use flip-chip level with low R to distribute clock 15

What are the BIG issues? Full-chip RC(L?) extraction In a 50 million net design, how do we store all the information of an RLC extraction? L * di/dt noise V dd is dropping Power is rising Supply current is growing fast Inductance of package needs to be limited Flip-chip has much lower L than wirebonding Useful References Delay Sakurai, Transactions on Electron Devices, Jan. 1993 Rubinstein, Transactions on CAD, July 1983 Noise Dartu, Design Automation Conference, 1997 Yee, TAU, 1997 Inductance Deutsch, IBM Journal of R&D, Sept. 1995 Ismail, DAC, 1998 Scaling / Technology Forecasting Sai-Halasz, Proc. of IEEE, Jan. 1995. Rahmat, International Electron Device Meetings, 1995 Fisher, Circuits and Devices Magazine, March 1998 Sylvester, ICCAD, 1998 General Bakoglu, Circuits, Interconnections, and Packaging for VLSI, Addison- Wesley, 1990 16